Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Similar documents
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

Layout-related stress effects on TID-induced leakage current

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

Study of a Thermal Annealing Approach for Very High Total Dose Environments

1. Introduction. What is implantation? Advantages

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Wet Oxidation and Hydrogen Incorporation on 4H-SiC (c-face)

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Materials Characterization

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Anodic Aluminium Oxide for Passivation in Silicon Solar Cells

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Characterization of thin Gd 2 O 3 magnetron sputtered layers

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Process Flow in Cross Sections

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Status Report: Optimization and Layout Design of AGIPD Sensor

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Oxide Growth. 1. Introduction

Silicon Wafer Processing PAKAGING AND TEST

High Performance p-type Black Phosphorus. Transistor with Scandium Contact

Blisters formation mechanism during High Dose Implanted Resist Stripping

Radiation Effects on the Photoluminescence of Rare-earth Doped Pyrochlore Powders

The semiconductor industry is now

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Laser Spike Annealing for sub-20nm Logic Devices

Novel methods to reduce leakage current in Si PIN photodiodes designed and fabricated with different dielectrics

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

REPORT DOCUMENTATION PAGE

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Radiation-induced depassivation of latent plasma damage

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Oxygen defects created in CeO 2 irradiated with 200 MeV Au ions

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS

2006 UPDATE METROLOGY

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

Thin Film Scattering: Epitaxial Layers

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Challenges of Silicon Carbide MOS Devices

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

SiC Nitridation by NH 3 Annealing and Its Effects in MOS Capacitors with Deposited SiO 2 Films

Isolation Technology. Dr. Lynn Fuller

NITROGEN AND HYDROGEN INDUCED TRAP PASSIVATION AT THE SiO 2 /4H-SiC INTERFACE. Sarit Dhar. Dissertation. Submitted to the Faculty of the

Corrosion Protect DLC Coating on Steel and Hastelloy

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

CMOS FABRICATION. n WELL PROCESS

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection

Al 2 O 3 SiO 2 stack with enhanced reliability

Synthetic antiferromagnet with Heusler alloy Co 2 FeAl ferromagnetic layers

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Protective Metal Oxides that Electronically Couple Catalysts to Efficient Light Absorbers

From microelectronics down to nanotechnology.

Microelectronics Reliability

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

Silicon Oxides: SiO 2

E. Buffagni, C. Ferrari, L. Zanotti, A. Zappettini

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

ZnO-based Transparent Conductive Oxide Thin Films

Nanosilicon single-electron transistors and memory

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T.

2007 Elsevier Science. Reprinted with permission from Elsevier.

Optical Constants of Ge and GeO 2 from Ellipsometry

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Silicon Nanocrystal Formation upon Annealing of SiO 2 Layers Implanted with Si Ions

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Lecture Day 2 Deposition

The Progress and Challenges of Applying High-k/Metal-Gated Devices to Advanced CMOS Technologies

This journal is The Royal Society of Chemistry S 1

Perpendicular Magnetic Multilayers for Advanced Memory Application

Radiation Tolerant Isolation Technology

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

Transcription:

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides 4, C.D. Young 5, G. Bersuker 5, E. Garfunkel 6, and L.C. Feldman1, 2, 4, 6 1 Interdisciplinary Materials Science Program 2 Vanderbilt Institute of Nanoscale Science and Engineering 3 Department of Electrical Engineering & Computer Science 4 Department of Physics & Astronomy Vanderbilt University, Nashville, TN - 37235, USA 5 SEMATECH, Inc., Austin, Texas 78741, USA 6 Department of Physics Rutgers University, Piscataway, NJ - 08854, USA 1

Radiation damage in Hafnium oxide Electron trapping reported. Hole trapping studied. Previous radiation studies: Most of the work on thicker oxides, mostly on capacitors Kang et al., APL, vol. 83, p. 3407, 2003 Felix et al., Microelectron. Engrg., vol. 44, p. 563, 2004, Ryan et al., IEEE TNS, vol. 52, p. 2272, 2005 This work: Radiation studies on HfO 2 -based MOSFETs Dose response of ultrathin gate oxides Identify the bias stress contribution for pure rad response Study as a function of SiO 2 IL and bulk HfO 2 thickness 10 nm 7.5 nm 10 nm 3.0 nm 10 nm 3.0 nm TiN HfO 2 1 nm 1 nm 2 nm SiO 2 Improved trapping efficiency calculations 2

Device processing & irradiation Fabrication: p-type Si Source Gate Gate Oxide Drain HfO2 SiO2 ~ 1 nm/2 nm SiO2 IL - ozone cleaning/thermal N+ ~ 3 nm/7.5 nm Atomic Layer Deposition HfO2 N+ P+ p-type well p-type Substrate ~ 700 C/60 s anneal in NH3 Materials Analysis HfO2 MOSFET cross-section ~ 10 nm TiN gate metal Ion implant - 1000 C/10 s dopant activation anneal Electrical measurements Irradiations: In-situ 10 kev X-ray irradiations Function of bias Function of bulk (7.5/3 nm) & IL (1/2 nm) I-V characterization 85 mm AV module High-k n-mosfet 3 13th May, 2008

Materials and Device Characterization Before irradiation Thickness of SiO 2 IL and HfO 2 bulk Materials composition Amorphous/nano-crystalline After irradiation Charge trapping (V T shifts) Trap location (SiO 2 IL or HfO 2 bulk) Materials Characterization Co-relate Electrical Characterization 4

Hafnium oxide - Materials perspective Hafnium atom Oxygen atom T increase Monoclinic Tetragonal Cubic Zhao et al., PRB, vol. 65, p. 233106, 2002 T increase Structure - Monoclinic crystallites in amorphous matrix Pure HfO 2 crystallizes at high temp., trapping increases with defects at GBs Alloying of Si increases the thermal budget, κ reduced, affects C ox SiO 2 interlayer improves mobility, intermixing issues, amphoteric traps Callegari et al., JAP, v.90,p. 6466, 2001 5

Materials analysis - HfO 2 /SiO 2 IL/Si RBS/Channeling t HfO2 & t SiO2 MEIS t HfO2, t SiO2 & Si in HfO 2 O peak (HfO 2 /SiO 2 ) XRR q c critical angle 1.8 MeV He + Scattering angle 175º <100> channel Hf peak Si surface peak t HfO2 2000 E 0 =130.0KeV Incident angle = 0 o <100> Scattering angle = 125.3 o <111> Yield [a.u.] 1500 1000 500 0 C O Si 10X 100 105 110 115 120 125 130 Energy [KeV] Simulation Experiment Si surface Si surf peak C-V t HfO2, t SiO2 & Si in HfO 2 Hf Fringes 6 Dixit et al., manuscript to be submitted to JAP, 2008

C-V - Comparison (Theory & Measured) κ eff not well-understood for silicates HfO 2 deposition + PDA at 700 C Intermixing issues Interlayer sub-stoichiometric κ eff reduces C HfO2 C SiO2 1 C eff = HfO 2 SiO 2 1 C HfO2 + 1 C SiO2 κ ~ 10 κ ~ 7 50% Si 20% Si at % Hf Callegari et al., JAP, v.90,p. 6466, 2001 7

Comparison 7.5 nm and 3 nm HfO 2 samples Threshold voltage shifts at -2 MV/cm and +3 MV/cm gate bias t phys = 7.5 nm + 1 nm t phys = 3.0 nm + 1 nm 7.5 nm HfO 2 1 nm SiO 2 3.0 nm HfO 2 1 nm SiO 2 Net hole trapping - radiation ΔN t = ~ 3.8 x 10 12 cm -2 at max.dose Significant SiO 2 IL trapping Radiation tolerant J g ~ 10 A/cm 2 leakage No significant V T shifts Dixit et al., IEEE TNS, vol. 54, p. 1883, 2007 Sample with minimal injection desired - pure radiation response 8

CVS and irradiation - 3 nm/2 nm Dielectric stack TiN 3.0 nm HfO 2 2 nm SiO 2 p-si Minimal shifts under bias stress Maximum V T shift under irradiations was ~ 50 mv Dixit et al., manuscript to be submitted to APL, 2008 9

CVS and irradiation - 3 nm/2 nm Key findings - 3 nm HfO 2 /2 nm SiO 2 IL better blocking electrode (no charge injection) Pure radiation response of ~ 50 mv (predominant hole trapping) Lowest J g ~ 8 x 10-4 A/cm 2 (minimal neutralization of trapped charge) Thinner HfO 2, less bulk traps Felix et al., Ryan et al., & Foster et al. Thinner HfO 2, better SiO 2 IL Bersuker et al. & Ryan et al., Felix et al., Microelectron. Engrg., vol. 44, p. 563, 2004 Ryan et al., IEEE TNS, vol. 52, p. 2272, 2005 Foster et al., PRB, vol. 65, p. 174117, 2002. Bersuker et al., JAP, vol. 100, p. 094108, 2006, Ryan et al., APL, vol. 90, p. 173513, 2007 10

Total dose results comparison Key results 3 nm HfO 2 /2 nm SiO 2 IL O leaching 7.5 nm HfO 2 (exposure t at higher temp. growth a,b ) I-V sweeps modify the charge (~ 50%) (border traps in the SiO 2 IL c ) Residual V T after stabilization (traps in HfO 2 and/or away from interface) Irradiation Bias ~ -2 MV/cm a Bersuker et al., JAP, vol. 100, p. 094108, 2006, b Ryan et al., APL, vol. 90, p. 173513, 2007, c Fleetwood et al., IEEE TNS, vol. 39, p. 269, 1992. Dixit et al., manuscript to be submitted to APL, 2008 11

Conclusions - HfO 2 based MOSFETs 3 nm/1 nm devices radiation tolerant and resistant to constant-voltage stress Total dose comparison between 7.5 nm/1 nm and 3 nm/2 nm MOSFETs suggest substantial hole trapping in the SiO 2 IL Residual V T shift suggest the presence of some of the holes trapped charge away from the interface, probably in the HfO 2 bulk 12

Acknowledgements Vanderbilt Dr. Leonard C. Feldman (advisor) Dr. Sokrates T. Pantelides Dr. Ronald D. Schrimpf Dr. Daniel M. Fleetwood Rutgers Dr. Eric Garfunkel Dr. Torgny Gustafsson Dr. Lyudmila Goncharova Mr.Tiang Feng (Ph.D. candidate) SEMATECH, Inc. Dr. Gennadi Bersuker Dr. Chadwin Young Dr. Rino Choi Group Members Dr. S. V. S Nageswara Rao Dr. Sarit Dhar Dr. John Rozen Dr. Anthony B. Hmelo Special thanks to INTERNATIONAL SEMATECH, Inc. for their collaboration in this research effort. This work was supported in part by the Air Force Office of Scientific Research (AFOSR) through the MURI program 13

Publications Published work 1) S. K. Dixit, X. J. Zhou, R. D. Schrimpf, D. M. Fleetwood, S. T. Pantelides, R. Choi, G. Bersuker and L. C. Feldman, Radiation induced charge trapping in ultrathin HfO 2 -based MOSFETs, IEEE Trans. Nucl. Sci., vol. 54, pp. 1883-1890, 2007. Manuscripts in preparation 2) S. K. Dixit, E. Garfunkel, C. D. Young, G. Bersuker, and L C. Feldman, Physical and electrical characterization for bulk HfO 2 and SiO 2 interlayer (IL) thickness verification in advanced gate stacks, manuscript to be submitted to J. Appl. Phys. 3) S. K. Dixit, X. J. Zhou, R. D. Schrimpf, D. M. Fleetwood, C. D. Young, G. Bersuker and L. C. Feldman, Hole trapping in HfO 2 -based MOSFETs studied as a function of varying HfO 2 bulk and SiO 2 interlayer (IL) thicknesses, manuscript to be submitted to Appl. Phys. Lett. 14