Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Similar documents
Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture Day 2 Deposition

Semiconductor Technology

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Thermal Evaporation. Theory

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

Photolithography I ( Part 2 )

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Plasma-Enhanced Chemical Vapor Deposition

Isolation Technology. Dr. Lynn Fuller

Rockwell R RF to IF Down Converter

Intel Pentium Processor W/MMX

Surface micromachining and Process flow part 1

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

TSV Interposer Process Flow with IME 300mm Facilities

CMOS FABRICATION. n WELL PROCESS

Surface Micromachining

Motorola PC603R Microprocessor

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Silicon Wafer Processing PAKAGING AND TEST

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

3D technologies for integration of MEMS

Fabrication Technology

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

VLSI Technology. By: Ajay Kumar Gautam

200mm Next Generation MEMS Technology update. Florent Ducrot

Dallas Semicoductor DS80C320 Microcontroller

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

Development of different copper seed layers with respect to the copper electroplating process

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Lattice isplsi1032e CPLD

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

National Semiconductor LM2672 Simple Switcher Voltage Regulator

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

KGC SCIENTIFIC Making of a Chip

Isolation of elements

Device Fabrication: Metallization

Advanced developer-soluble gap-fill materials and applications

New Materials as an enabler for Advanced Chip Manufacturing

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Modeling of Local Oxidation Processes

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

Ultra High Barrier Coatings by PECVD

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

SGS-Thomson M17C1001 1Mb UVEPROM

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials

VLSI Systems and Computer Architecture Lab

CMOS Manufacturing Process

Atomic Layer Deposition(ALD)

Non-contractual document, specifications subject to change without notice.

Multilayer barrier for particle encapsulation

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

PROCESSING OF INTEGRATED CIRCUITS

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Etching Mask Properties of Diamond-Like Carbon Films

Guénaël RIBETTE. General Director

Hybrid BARC approaches for FEOL and BEOL integration

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

Fabrication and Layout

Visit

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

High Rate low pressure PECVD for barrier and optical coatings

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Materials Characterization

5.8 Diaphragm Uniaxial Optical Accelerometer

Cost of Integrated Circuits

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

Enabling Technology in Thin Wafer Dicing

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Amorphous Silicon Solar Cells

p. 57 p. 89 p. 97 p. 119

Emerging Materials for Front End IC Process

Radiation Tolerant Isolation Technology

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

2006 UPDATE METROLOGY

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Supporting Information

Transcription:

Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition

Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall oxide Gate oxide Pad ILD Oxide Poly Poly Field oxide Metal n + n + p + p + p - epi layer p + silicon substrate Oxide n-well Metal Figure 11.1

Process Flow in a Wafer Fab Locations where thin films are deposited Wafer fabrication (front-end) Wafer start Thin Films Polish Unpatterned wafer Completed wafer Diffusion Photo Etch Test/Sort Implant Used with permission of Advanced Micro Devices Figure 11.2

Introduction Film Layering in WaferFab Diffusion Thin Films Film Layering Terminology Multilayer Metallization Metal Layers Dielectric Layers

Multilevel Metallization on a ULSI Wafer Passivation layer ILD-6 Bonding pad metal M-4 ILD-5 ILD-4 M-3 ILD-3 M-2 ILD-2 M-1 Via ILD-1 LI metal Poly gate LI oxide n + p + p + STI n + n + p + n-well p-well p - Epitaxial layer p + Silicon substrate Figure 11.3

Metal Layers in a Chip Micrograph courtesy of Integrated Circuit Engineering Photo 11.1

Film Deposition Thin Film Characteristics Good step coverage Ability to fill high aspect ratio gaps (conformality) Good thickness uniformity High purity and density Controlled stoichiometries High degree of structural perfection with low film stress Good electrical properties Excellent adhesion to the substrate material and subsequent films

Solid Thin Film Thickness Width Thin films are very thin in comparison to the substrate. Length Oxide Silicon substrate Figure 11.4

Film Coverage over Steps Uniform thickness Conformal step coverage Nonconformal step coverage Figure 11.5

Aspect Ratio for Film Deposition Aspect Ratio = Depth Width Aspect Ratio = 500 Å 250 Å = 2 1 D 500 Å W 250 Å Figure 11.6

High Aspect Ratio Gap Photograph courtesy of Integrated Circuit Engineering Photo 11.2

Stages of Film Growth Gas molecules Nucleation Coalescence Continuous film Substrate Figure 11.7

Techniques of Film Deposition 13 Chemical Processes Physical Processes Chemical Vapor Deposition (CVD) Plating Physical Vapor Deposition (PVD or Sputtering) Evaporation Spin On Methods Atmospheric Pressure CVD (APCVD) or Sub-Atmospheric CVD (SACVD) Electrochemical deposition (ECD), commonly referred to as electroplating DC Diode Filament and Electron Beam Spin on glass (SOG) Low Pressure CVD (LPCVD) Plasma Assisted CVD: Plasma Enhanced CVD (PECVD) High Density Plasma CVD (HDPCVD) Electroless Plating Radio Frequency (RF) DC Magnetron Molecular Beam Epitaxy (MBE) Spin on dielectric (SOD) Vapor Phase Epitaxy (VPE) and Metal-organic CVD (MOCVD) Ionized metal plasma (IMP) Dielectrics: Chapter 11 Metals: Chapter 12 Chapter 12 Chapter 12 Chapter 12 Chapter 11 Table 11.1

Chemical Vapor Deposition The Essential Aspects of CVD 1. Chemical action is involved, either through chemical reaction or by thermal decomposition (referred to as pyrolysis). 2. All material for the thin film is supplied by an external source. 3. The reactants in a CVD process must start out in the vapor phase (as a gas).

Chemical Vapor Deposition Tool Photograph courtesy of Novellus, Sequel CVD Photo 11.3

CVD Reaction CVD Reaction Steps Rate Limiting Step CVD Gas Flow Dynamics Pressure in CVD Doping During CVD PSG BSG FSG

Schematic of CVD Transport and Reaction Steps Gas delivery 1) Mass transport of reactants CVD Reactor 2) Film precursor reactions By-products 7) Desorption of byproducts 8) By-product removal Exhaust 3) Diffusion of gas molecules 4) Adsorption of precursors 5) Precursor diffusion into substrate 6) Surface reactions Continuous film Substrate Figure 11.8

Gas Flow in CVD Gas flow Diffusion of reactants Reaction product Deposited film Silicon substrate Figure 11.9

Boundary Layer at Wafer Surface Continuous gas flow Diffusion of reactants Boundary layer Deposited film Silicon substrate Figure 11.10

CVD Deposition Systems CVD Equipment Design CVD reactor heating CVD reactor configuration CVD reactor summary Atmospheric Pressure CVD, APCVD Low Pressure CVD, LPCVD Plasma-Assisted CVD Plasma-Enhanced CVD, PECVD High-Density Plasma CVD, HDPCVD

CVD Reactor Types CVD Reactor Types Atmospheric Low-pressure Batch Single-wafer Hot-wall Cold-wall Continuous motion Epitaxial Plenum Nozzle Barrel Cold-wall planar Plasma-assisted Vertical-flow Isothermal Figure 11.11

Types of CVD Reactors and Principal Characteristics Process Advantages Disadvantages Applications APCVD (Atmospheric Pressure CVD) Simple reactor, fast deposition, low temperature. Poor step coverage, particle contamination, and low throughput. Low-temperature oxides (both doped and undoped). LPCVD (Low Pressure CVD) Excellent purity and uniformity, conformal step coverage, large wafer capacity. High temperature, low deposition rate, more maintenance intensive and requires vacuum system. High-temperature oxides (both doped and undoped), silicon nitride, polysilicon, W, WSi 2. Plasma Assisted CVD: Plasma Enhanced CVD (PECVD) High Density Plasma CVD (HDPCVD) Low temperature, fast deposition, good step coverage, good gap fill. Requires RF system, higher cost, stress is much higher with a tensile component, and chemical (e.g., H 2 ) and particle contamination. High aspect ratio gap fill, low-temperature oxides over metals, ILD-1, ILD, copper seed layer for dual damascene, passivation (nitride). Table 11.2

Continuous-Processing APCVD Reactors Reactant gas 1 Inert separator gas Film Reactant gas 2 Wafer (a) Gas-injection type Reactant gases N 2 N 2 N 2 N 2 N 2 N 2 Wafer Heater (b) Plenum type Figure 11.12

Excellent Step Coverage of APCVD TEOS-O3 Trench fill by chemical vapor deposition TEOS-O 3 Trench CVD oxide Nitride Liner oxide n-well p - Epitaxial layer p-well + p Silicon substrate Figure 11.3

Planarized Surface after Reflow of PSG Before reflow After reflow PSG PSG Metal or polysilicon Figure 11.14

LPCVD Reaction Chamber for Deposition of Oxides, Nitrides, or Polysilicon Three-zone heating element Pressure gauge Exhaust to vacuum pump Profile thermocouples (internal) Spike thermocouples (external, control) Gas inlet Figure 11.16

Oxide Deposition with TEOS LPCVD Computer terminal operator interface Gas flow controller LPCVD Furnace Threezone heater Pressure controller N 2 O 2 Vacuum Heater TEOS pump Temp. controller Furnace microcontroller Exhaust Figure 11.17

Doped Polysilicon as a Gate electrode Polysilicon gate n + p + p + n + n + p + n-well p-well p- Epitaxial layer p+ Silicon substrate Figure 11.18

Advantages of Plasma Assisted CVD 1. Lower processing temperature (250 450 C). 2. Excellent gap-fill for high aspect ratio gaps (with highdensity plasma). 3. Good film adhesion to the wafer. 4. High deposition rates. 5. High film density due to low pinholes and voids. 6. Low film stress due to lower processing temperature.

Film Formation during Plasma-Based CVD RF generator Gas delivery 1) Reactants enter chamber RF field Electrode PECVD reactor 2) Dissociation of reactants by electric fields By-products 7) Desorption of by-products 8) By-product removal Exhaust 3) Film precursors are formed 4) Adsorption of precursors 5) Precursor diffusion into substrate 6) Surface reactions Continuous film Substrate Electrode Figure 11.19

General Schematic of PECVD for Deposition of Oxides, Nitrides, Silicon Oxynitride or Tungsten Matching network RF generator Gas flow controller Gas dispersion screen Microcontroller operator Interface Pressure controller Gas panel Electrodes Turbo pump Exhaust Roughing pump Process gases Figure 11.20

Properties of Silicon Nitride for LPCVD Versus PECVD Property LPCVD PECVD Deposition temperature ( C) 700 800 300 400 Composition Si 3 N 4 Si x N y H z Step coverage Fair Conformal Stress at 23 C on silicon (dyn/cm -2 ) 1.2 1.8 x 10 10 (tensile) 1 8 x 10 9 (tensile or compressive) Table 11.3

High Density Plasma Deposition Chamber Popular in mid-1990s High density plasma Highly directional due to wafer bias Fills high aspect ratio gaps Backside He cooling to relieve high thermal load Simultaneously deposits and etches film to prevent bread-loaf and key-hole effects Photograph courtesy of Applied Materials, Ultima HDPCVD Centura Photo 11.4

Five Steps of HDPCVD Process 1. Ion-induced deposition 2. Sputter etch 3. Redeposition 4. Hot neutral CVD 5. Reflection

Dep-Etch-Dep Process Bread-loaf effect The solution begins here SiO 2 Key-hole defect Metal Film deposited with PECVD creates pinch-off at the entrance to a gap resulting in a void in the gap fill. 1) Ion-induced deposition of film precursors Cap 2) Argon ions sputter-etch excess film at gap entrance resulting in a beveled appearance in the film. 3) Etched material is redeposited. The process is repeated resulting in an equal bottom-up profile. Figure 11.22

3-Part Process for Dielectric Gap Fill SiO 2 Cap Aluminum 1) HDPCVD gap fill 2) PECVD cap 3) Chemical mechanical planarization

Effects of Keyholes in ILD on Metal Step Coverage Keyhole defect in interlayer dielectric Aluminum SiO 2 a) SiO 2 deposited by PECVD b) SiO 2 is planarized Metal void caused by keyhole defect in SiO 2 c) Next layer of aluminum is deposited

Dielectrics and Performance Dielectric Constant Gap Fill Chip Performance Low-k Dielectric High-k Dielectric Device Isolation LOCOS STI

Potential Low-k Materials for ILD of ULSI Interconnects Potential low-k Dielectric FSG (silicon oxyfluoride, Si X OF y ) HSQ (hydrogen silsesquioxane) Dielectric Constant (k) Gap Fill (mm) Cure Temp. ( C) 3.4 4.1 <0.35 No issue 2.9 <0.10 350 450 Nanoporous silica 1.3 2.5 <0.25 400 Poly(arylene) ether (PAE) 2.6 2.8 <0.15 375 425 a-cf (fluorinated amorphous carbon or 2.8 <0.18 250 350 FLAC) 1 Parylene AF4 (aliphatic tetrafluorinated poly-pxylylene) 2.5 <0.18 420 450 Remarks FSG has almost the same k-value as SiO 2 and reliability concern that fluorine will attack and corrode tantalum barrier metal. Silicon-based resin polymer available in solution as Fox (Flowable Oxide) for spin-on coating application. May require surface passivation to reduce moisture absorption. Cure is done in nitrogen. Inorganic material with tunable dielectric constant that relies on pore density. Increased porosity reduces mechanical integrity porous material must withstand polishing, etching and heat treatments without degradation. Spin-on aromatic polymer with excellent adhesion and ability to be polished with CMP. Leading candidate for CVD deposition with high density plasma CVD (HDPCVD) to produce film with good thermal stability and adhesion. CVD film that meets adhesion and via resistance requirements with need to maintain gas delivery system at 200 C to control parylene precursor flow rate. 1 P. Singer, Technology News: Wafer Processing, Semiconductor International, October, 1998, p. 44. Table 11.4

Interconnect Delay (RC) vs. Feature Size (µm) 2.5 2.0 Interconnect delay (RC) Delay time ( 10-9 sec) 1.5 1.0 Gate delay 0.5 0 0.5 1.0 1.5 2.0 Feature size (µm) Figure 11.24

Total Interconnect Wiring Capacitance 7 Capacitance (10-12 Farads/cm) 6 5 4 3 2 1 K = 4 K = 3 K = 2 K= 1 0 0 0.5 1.0 1.5 2.0 2.5 3.0 Space (µm) Redrawn with permission from Semiconductor International, September 1998 Figure 11.25

Low-k Dielectric Film Requirements Electrical Mechanical Thermal Chemical Processing Metallization Low dielectric constant Good adhesion Thermal stability Resistant: acids and bases Patternability Low contact resistance Low dielectric loss Low leakage Low shrinkage Crack resistant Low coefficient of thermal expansion High conductivity Etch selectivity Low impurities Good gap fill Planarization High reliability Low stress No corrosion Low pin hole Good hardness Low moisture uptake Low particulate Low electromigration (corrosion) Low stress voiding Hillock (smooth surface) Compatible with barrier metals (Ta, TaN, TiN, etc.) Storage life Table 11.5

General Diagram of DRAM Stacked Capacitors SiO 2 dielectric Doped polysilicon capacitor plate SiO 2 dielectric Doped polysilicon capacitor plate Doped polysilicon capacitor plate Doped polysilicon capacitor plate Buried contact diffusion Buried contact diffusion Figure 11.26

Shallow Trench Isolation Photograph courtesy of Integrated Circuit Engineering Photo 11.5

Spin-on Dielectrics Spin-on Glass (SOG) Spin-on Dielectric (SOD) Epitaxy Epitaxy growth methods Vapor-phase epitaxy Metalorganic CVD Molecular-beam epitaxy

Gap-Fill with Spin-On-Glass (SOG) Cap 1) Initial SOG gap fill 2) SOG after curing 3) CVD oxide cap Figure 11.27

Proposed HSQ Low-k Dielectric Processing Parameters Major Operation Process Step Parameter Spin coating Cure Apply bowl speed Maximum bowl speed Backside rinse Topside edge bead removal Spin Dry Initial soft-bake cure In-line cure 50 rpm 800 1500 rpm 800 rpm, 5 sec 1000 rpm, 10 sec 1000 rpm, 5 sec 200 C, 60 sec, N 2 purge 475 C, 60 sec, N 2 ambient Table 11.6

Epitaxy Epitaxy Growth Model EpitaxyGrowth Methods Vapor-Phase Epitaxy (VPE) Metalorganic CVD (MOCVD) Molecular-Beam Epitaxy (MBE)

Silicon Epitaxial Growth on a Silicon Wafer Cl Si Cl H H Cl By-products H H Chemical reaction Cl Deposited silicon Si Si Epitaxial layer Si Si Si Si Si Single silicon substrate Si Si Si Si Si Figure 11.28