Metrology at the Nanoscale What are the Grand Challenges?

Similar documents
Nano-Scale Manufacturing:

Hysitron TI 980 TriboIndenter. Innovation with Integrity. Tribology and Mechanical Testing

Hysitron TI 980 TriboIndenter. Innovation with Integrity. Tribology and Mechanical Testing

Moving toward Sustainability

Three-Dimensional Laser Writing on the Nanometer Scale

3D Laser Lithography in Biotechnology and Medical Technology

FY06 ACCOMPLISHMENTS. Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography

Tackling process variation in manufacturing the benefits of modern process control techniques

Visit

So What Is Nanotechnology

During solution evaporation, there are two major competing evaporation-driven effects, coffee ring effect and Marangoni flow.

Lloyd Whitman Deputy Director Center for Nanoscale Science and Technology

Nanotechnology: Small is big business

Application Note #556 Corrosion Monitoring with Bruker 3D Optical Microscopes Fast, Accurate Metrology for Cost Savings in the Refining Industry

Nano Computers through Nanotechnology

A CHECKLIST FOR QUALITY. Seven Questions to Gauge Quality in Metal Stamping Suppliers

PeakForce Tapping and ScanAsyst An introduction to the technique featuring Bruker s Dimension Edge. Bede Pittenger, Ph.D.

Imprint Lithography: Getting to the Next Level

Systems Nanotechnology - NSF / NNI context and challenges for manufacturing -

Validation of an Ultrasonic-Phased-Array-Method for Testing of Circumferential Welds at Thin-walled Pipes

OMP40-2 optical transmission probe

Magnetic Force Microscopy: nanoscale magnetic imaging and lithography

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

3D Printing: A New Promising Avenue for Concrete and the Construction Industry?

The Italian National Research Council (CNR)

ICT in Factories of the Future

Nanomaterials Research. Forest Products Laboratory. at the Forest Products Laboratory. Ron Sabo Jerry Winandy Ted Wegner.

MRSI-175Ag Epoxy Dispenser

NanoSystemsEngineering: NanoNose Final Status, March 2011

Development of Piezoelectric Nanocomposites for Energy Harvesting and Self-Sensing

Solar Cells and Photosensors.

Measurement of thickness of native silicon dioxide with a scanning electron microscope

MSC.Software: Product Brief - MD Adams What s New PRODUCT BRIEF. MD Adams 2011

nano-ta TM : Nano Thermal Analysis

Customized Consulting Services & Technical Support To: Improve Productivity. Reduce Manufacturing Costs. Maintain a Level of Repeatability

HiSeqTM 2000 Sequencing System

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Nanotechnology Research Center Building. Presentation to the Georgia Tech Foundation G. Wayne Clough March 3, 2005

Nanotechnology: the Nexus of Science Education

The. universal 3D Inspection reference. advanced 3D measurement software & solutions

12.0 Materials for Missile, Space, and Launch Systems

Lowering Barriers for Nanotechnology Commercialisation

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

RDT&E BUDGET ITEM JUSTIFICATION SHEET (R-2 Exhibit)

Summary NANOTECHNOLOGY : MASTERING THE INFINITELY SMALL

Brochure. Equator gauging systems

Profile360 In-Line Profile Measurement System

9/24/2012. Science Symposium FDA, Nanotechnology and Cosmetics Jay Ansell, PhD., DABT AGENDA

Spectrum S-920N Series

Engineered Resilient Systems

Development of an Improved Rail Flaw Detection System

Smart expansion joints of long-span bridges: self-evaluating by advanced monitoring system

Morgan State University CE STRUCTURES AREA LABORATORIES

Scanning Probe Microscopes

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

NRC capabilities in clean energy and resources

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

: Rodger Jeffery (Principal Author); Hardy Engineering Team; Hardy Tech Support Team

Ten Requirements for Effective Process Control

Roadmap in Mask Fab for Particles/Component Performance

QuEST Forum. TL 9000 Quality Management System. Requirements Handbook

MEDTECH. Motion systems. for challenging Diagnostics and Patient Care applications. from mind to motion

How to Speed Up the Return on Investment When You Purchase Your Next Optical Measuring Machine ZEISS Industrial Metrology

EV Group 300mm Wafer Bonding Technology July 16, 2008

Recent Research Projects:

Click to edit Master title style

Glass Substrate Magnetic Recording Media

PERFORMANCE MADE EASY REAL-TIME PCR

CB x 33 x 70 cm

356 Index. NLSGM nanorods, 124

Nanotechnology for Molecular and Cellular Manipulation

Bonded Neo Magnetization Guide

Nanotechnology and the Agenda 2020 Industry Technology Vision

Learning about the nanoscale and the SI system of measurement

Thin, Smooth Diamond for Breakthrough Solutions

Plastic Electronics Precise and productive automation solutions

Recent Advances in NDE Technologies for Turbines and Generators

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

Development of System in Package

MODEL SEM Mill. Two independently adjustable TrueFocus ion sources

Nanotechnology. DTSC and Nanotechnology

icap 7000 Plus Series ICP-OES Streamlined performance and ultra-low ICP-OES detection limits for routine laboratories

Charting a Pathway for Nanotechnology in Engineering Technology Education

Oracle Cloud Blueprint and Roadmap Service. 1 Copyright 2012, Oracle and/or its affiliates. All rights reserved.

Over the last decade, drilled and postgrouted micropile foundations have

Status of the DESY NanoLab Project

Is Machine Learning the future of the Business Intelligence?

Measurement And Reduction of Particulate Contamination in HDD

LC/MS/MS Solutions for Biomarker Discovery QSTAR. Elite Hybrid LC/MS/MS System. More performance, more reliability, more answers

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Nanotechnology in aerospace applications

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers

Photonic Drying Pulsed Light as a low Temperature Sintering Process

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Assessing Metrology Tool Capability

2013 NSF Nanoscale Science and Engineering Grantees Conference December 4-6, 2013 Westin Hotel - Arlington, VA

RDT&E BUDGET ITEM JUSTIFICATION SHEET (R-2 Exhibit)

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Transcription:

Metrology at the Nanoscale What are the Grand Challenges? Research Challenges for Nanomanufacturing Systems February 11-12, 2008 National Science Foundation Arlington, VA Kevin W. Lyons Manufacturing Engineering Laboratory National Institute of Standards and Technology kevin.lyons@nist.gov

Presentation agenda Remarks and guidance materials Outputs from conference National Institute of Standards and Technology Manufacturing Engineering Lab

NNI Interagency Workshop Instrumentation and Metrology for Nanotechnology Grand Challenge Workshop January 27-29, 2004 National Institute of Standards and Technology, Gaithersburg, MD Green Auditorium, Administration Building http://www.nano.gov/nni_instrumentation_metrology_rpt.pdf

Nanomanufacturing A systems level perspective All manufacturing activities that collectively support practical approaches to design, produce, control, modify, manipulate, assemble, and measure nanometer scale elements or features for the purpose of realizing products or systems that exploit properties seen at the nanoscale. 250nm x 250nm field of view. Feature dimensions are 10nm. 100 nm (design) 180 nm 350 nm

Nanomanufacturing Goal: Simply stated yet challenging The notion that nano-enabled materials, structures, devices, and systems can be manufactured reliably in a cost-effective manner; - at different times; - in different places; - by different people; - using different equipment/processes; With documented conformance to product specifications Key to achieving uniformity in output is traceability against common standards

Nanomanufacturing Vision: Instrumentation and Metrology Nanomanufacturing in the future will require the development of new instrumentation, metrology, and standards designed specifically for high value and mass production applications. Industry will rely on fast in-line metrology tools for process control, backed up by slower, more accurate tools off the manufacturing floor. Tools will be costeffective, fast, occupy minimal floor space, not require ultra-high vacuum or stringent vibration isolation, and support appropriate work volumes. Real-time data will provide fast analysis and control of manufacturing processes.

Nanomanufacturing A systems level perspective Production hardened Measurement technologies that Metrology and Instrumentation for provide Product the Plant production Floor through-put personnel Instruments must be designed to exist in a production with Safety environment the necessary and be information capability of measuring product and process attributes required to Setup maintain for conformance time control of testing. the manufacturing Cycle time process ensuring that the products produced will Working volume Manufacturing Attributes Product through-put: The ability to make appropriate number and types of measurements conform to certify to conformance desired of product to specifications. Safety: The ability of the instrument to make measurements without compromising the specifications. safety Flexibility of the operator during This final will and require work-in-process operations. Foot-print: The amount of production floor-space that the instrumentation and supporting that systems control take up. information be Tool accessibility to product: The ability of instrument to position the sensing tool precisely to make critical production measurements. The same instrument technology used for current metrology tools could be used although provided the tool might have in a the different timeframes form factor. Sample preparation: Support minimal time differences between the time a product required is produced to the by time production a sample is prepared and for in determining conformance. Stage loading and presentation: Capability to quickly load and position stage for measurement formats of suitable sample. for production Vibration isolation: To conduct production measurements without or with minimal vibration isolation personnel. Vacuum: To conduct production measurements without vacuum Thermal isolation or temperature control: To conduct production measurements without thermal isolation or temperature control Setup: Minimize number of set-ups or provide for quicker set-ups Maintenance: In production mode, the instrumentation should not result in more frequent servicing than the product production equipment Working volume: Larger working volumes translate to fewer set-up and registration operations and higher confidence in measurements. Operator ease-of-use: The instrumentation can be set-up, calibrated, and run by trained personnel. Instrumentation should provide alarm for servicing (contaminated probes, etc) Flexibility: The instrumentation can be adapted or reconfigured to other uses with minimal effort Process Flow: The metrology operation does not interrupt the flow of product and preferably no off-line measurements are required. Measurements and analysis are done real-time enabling rapid response to production conditions.

Nanomanufacturing Goal: Research and Development To support U.S. industry in moving nanomanufacturing technologies into production by concurrently developing the scientific and engineering foundations necessary to support measurements and standards required to achieve effective and validated nanoscale product and process performance.

Nanomanufacturing Instrumentation, Metrology, and Standards o Conduct development activities to extend/enhance existing measurement systems, fabrication processes, and manufacturing system architectures that support nanomanufacturing. Identify and work key areas to accelerate progress to production harden. o Conduct development activities that accelerate new technologies that lead to the development of new nanomanufacturing systems, support tools, and new fabrication processes.

Nanomanufacturing Instrumentation, Metrology, and Standards o Support interoperability of manufacturing / measurement systems to allow the collection, transfer, and analysis of data between and among those systems that will be integral to the manufacture of products at the nanoscale o Provide industry with critical methods and technologies that support nanoscale traceability to basic and derived units of measure, including length, mass and force.

Nanomanufacturing Instrumentation, Metrology, and Standards Fabrication of reference standards Customization of existing measurement systems to encompass stringent control and accuracy requirements Rigorous and robust methods for fabrication Calibration methods and approaches Rapid, accurate reference against a known standard New approaches to increasing the availability and accessibility of the reference standard New approaches to transfer trust to another local reference

Nanomanufacturing Instrumentation, Metrology, and Standards Performance Evaluation of Nanomanufacturing Tools Standardized parameters and associated testing procedures to specify, describe, and verify the performance of key tools and processes for nanoscale manufacturing Information Technology (IT) standards in Integration, Interoperability, and Information Management Within single systems and with clusters of various types of production and measurement systems Mix of in-process and off-line processes Optimize the exchange and sharing of critical information

Nanomanufacturing Instrumentation, Metrology, and Standards - INTEGRATION Integration technologies are key enablers for solution providers who design and produce production and measurement systems for manufacturers System-level models and descriptions integrating nanomanufacturing components, sensors, actuators, and control units can provide invaluable information for real-time control and decision support balance of hardware/software design to achieve specific measurement functionality and performance algorithms and models that describe material properties, material analysis results, tool-measurement probe motion planning, forward-looking control approaches, process flows, and other relevant phenomenon. knowledge/information exchange across multiple associated models Hybrid production systems that combine functionality of two or more tool technologies for measurement and deposition/removal of materials will require novel integration approaches coordination of measurements with control of production-level operations

Nanomanufacturing Instrumentation, Metrology, and Standards - INTEROPERABILITY Interoperability ensures that different metrology instrumentation (types and manufacturers) can exchange data and information in a useful and meaningful way in support of a system that works together effectively and in a predictable manner, without prior physical staging or communication provide needed support for metrology sub-systems that are incorporated into production systems. Interoperability challenges will increase in complexity as systems are defined which are interwoven with existing engineering, manufacturing, and business software. support the mix of in-process and off-line measurements that will be required for production operations and actions. establish measures and standards that determine an implementation's level of interoperability (e.g., maturity matrix)

Nanomanufacturing Instrumentation, Metrology, and Standards INFOR. MANAGEMENT Information management is simply the handling of information acquired by one or many disparate sources in a way that optimizes access by all who have a share in that information or a right to that information* supports a structured approach to formatting, storing, transferring, and presenting information while maintaining security and data integrity requirements. includes focus areas supporting measurement technologies which can be extensions to research areas such as database design and architectures, data mining, and data representations. * Wikimedia Foundation, Inc., http://en.wikipedia.org/wiki/information_management

Instrumentation and Metrology Workshop Central Theme Develop the ability to determine the elemental composition, location, and chemical state of all atoms in a nanostructure in three dimensions with atomic accuracy, and the ability to understand and predict the resulting properties of the nanostructure. This requires the development of new metrology instrumentation and infrastructure for both laboratory research and nanomanufacturing Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Recommendations Develop a national (or international) technology roadmap for nanotechnology for instrumentation and metrology similar to the current International Technology Roadmap for Semiconductors to guide technology development and assist instrument manufacturers in providing measurement tools within a reasonable lead time. Develop strong educational programs and leverage Federal laboratories that address the development of measurement infrastructure and advanced measurement instrumentation Coordinate funding of educational programs with agencies to provide effective support for program areas of joint interest. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Recommendations Leverage national laboratories user facilities to foster the development of new measurement techniques and development of a national user facility for nanometrology. Foster the development of consortia co-funded by government and industry tasked to bridge the gap for the development of sector-specific instrumentation for nanometrology for nanomanufacturing. Invest in integrated computational methods to develop predictive and assessment tools for nanometrology and nanomanufacturing. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge Themes Instrumentation and Metrology for: Nano-Characterization: measurement of physical and chemical properties such as dimension/size, force, composition, surface area, and shape of nanoscale materials and devices; includes imaging of the three-dimensional (3D) relationships of complex nanoscale components. Nano-Mechanics: measurement of the mechanical properties such as friction, hardness, elasticity, adhesion, durability, and performance of nanostructured materials in devices and systems; includes nano-identation and nanotribilogy as applied to the mechanics of constrained volume materials. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge - Themes Instrumentation and Metrology for: Nano-Electronics, -Photonics, and Magnetics: reproducible measurement of electronic, photonic, or magnetic properties (surface or embedded) such as resistance, refractive index, emissivity, of nanoscale devices and materials as needed to successfully incorporate devices into commercial products Nano-Fabrication: metrology to support fabrication of device-like structures with features having dimensions as small as a single atom; includes manipulation and placement of individual atoms and molecules, and external instrumentation to interact with structures and devices Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge - Themes Instrumentation and Metrology for: Nano-Manufacturing: measurement methods to support the mass manufacture of nanotechnology-based products; includes the ability to measure, control, and predict the nanoscale structure, performance, and properties of materials and devices, over millimeter scales reliably, reproducibly, and on the production floor. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Nanomanufacturing session identified topics Development of new instrumentation that has the ability to measure three-dimensional structures over large areas such as wafers used in the semiconductor industry Development of new instrumentation that has the ability to characterize dense quantities of nanoelements under manufacturing conditions and in manufacturing-relevant time spans Development of new software applications and sensor sets that have the ability to acquire and analyze large amounts of manufacturing data and make timely decisions to maintain manufacturing process control. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Nanomanufacturing session identified topics Important research areas at the nanoscale: Material properties Modeling and simulation Multi-scale modeling Reduced-order models System-level description Data analysis and visualization System-level models and descriptions integrating nanomanufacturing components, sensors, actuators, and control units can provide invaluable information for real-time control and decision support. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge areas - Nanomanufacturing Incoming materials Equipment characterization In-process measurements Control Integration, Interoperability Product measurements

Instrumentation and Metrology Grand Challenge Equipment characterization Performance evaluation of tools Applications Nanoscale Manufacturing equipment and tools Objectives Develop a comprehensive set of parameters and associated testing procedures that provide the foundation for standards to specify, describe, and verify the performance of key nanomanufacturing tools. Define standardized parameters and associated testing procedures to specify, describe, and verify the performance of key tools and processes for nanoscale manufacturing Requirements Address errors of scanning stages important for nano-measurement and nanomanufacturing such as repeatability, creep, ringing, vector positioning, and drift between the imaging and scanning operations. Address the key error sources of various Scanning Probe Microscopes for nanoscale measurement and modification Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge In-process measurements Dense collections & Liquid phase - Techniques for characterizing liquid phases of nanoelements under manufacturing conditions Applications: CNTs and quantum dots in liquid phase Objectives: Measure static and dynamic properties under different conditions (e.g., process flow SWCNTs in liquids) Measure critical dimensions (length, diameter), functionality, surface properties, chirality, of nanoelements and quantify dispersion/aggregation Measure and monitor liquid-phase growth of nano-elements (e.g., quantum dots) -- growth rates and critical dimensions Measure and monitor liquid-phase assembly of nano-elements of one or more types into larger, ordered nanostructures (e.g. CNTs mesophases) Develop and correlate models for process control Requirements: Deconvolute signals from polydisperse systems? Obtain signals from complex environments? Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge Integration, Interoperability Real-time decision support for nanomanufacturing Applications: Massive sensor arrays Objectives: o Integration of data to/from slower, but massively parallel tools/sensors (multiple sensors, sensor types needed for rapid nanomfg) o Informed by modeling, model correlation, feedback to design (RP) o In-situ data mining Requirement: o Ability to transmit massive amounts of data to and from sensors / tools/ instruments in a suitable format, at low cost o Data from the tools must be received in real-time allowing for fast analysis and transformation into information and knowledge. o Parallel processing and sophisticated control algorithms will be important enablers for competitive production cost at the nanomanufacturing scale. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Instrumentation and Metrology Grand Challenge Product measurements Full device inspection (surfaces & 3D) Applications: defect identification, registration Objectives: Image materials at atomic resolution, analyze their composition with a sensitivity of a few atoms, and determine their electrical and bonding properties on a scale smaller than one nm, and completed within a timeframe suitable for mfg 3D metrology Requirement: Ability to move across and scan 300 to 500 mm lengths with 1 nm resolution with positional accuracies of sub-nm, in times consistent with the needs for process control, at low cost Nanotechnology Grand Challenge Workshop January 27-29, 2004

Nanomanufacturing In summary Reliable, reproducible nanomanufacturing supported by rapid, accurate metrology and instrumentation is the key to achieving the economic potential of nanotechnology To achieve this goal, existing metrology tools need to be dramatically improved, and innovative tools based on entirely new ideas will need to be developed. Metrology will need to move out of the laboratory and onto the manufacturing floor, where it cost-effectively provides rapid analysis of all aspects of processing and is usable by manufacturing personnel. Nanotechnology Grand Challenge Workshop January 27-29, 2004

Questions National Institute of Standards and Technology Manufacturing Engineering Lab