Photoresist. UV Exposure Mask UV Exposure. Photolithography. Silicon substrate. (a) (b) (c) (d)

Similar documents
Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photolithography I ( Part 2 )

Photolithography Process Technology

Fabrication Technology, Part I

Introduction to Lithography

Introduction to Nanoscience and Nanotechnology

Fabrication and Layout

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

micro resist technology

Lecture 4 Lithography II

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

EECS130 Integrated Circuit Devices

Micro- and Nano-Technology... for Optics

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

UV15: For Fabrication of Polymer Optical Waveguides

Major Fabrication Steps in MOS Process Flow

Microelectronic Device Instructional Laboratory. Table of Contents

Chapter 3 Silicon Device Fabrication Technology

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Czochralski Crystal Growth

Fabrication Technology

micro resist technology

EELE408 Photovoltaics Lecture 02: Silicon Processing

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

MCC. NANO PMMA and Copolymer

Silicon Manufacturing

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

EE 330 Lecture 9. IC Fabrication Technology Part 2

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

The Physical Structure (NMOS)

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Advanced Polymers And Resists For Nanoimprint Lithography

Schematic creation of MOS field effect transistor.

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Chapter 3 CMOS processing technology

Understanding. Brewer Science

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Chapter 2 Manufacturing Process

More on VLSI Fabrication Technologies. Emanuele Baravelli

Semiconductor Technology

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Processing guidelines

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Chemical Vapor Deposition

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Lab #2 Wafer Cleaning (RCA cleaning)

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Lecture 1A: Manufacturing& Layout

4/10/2012. Introduction to Microfabrication. Fabrication

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

EUV optics lifetime Radiation damage, contamination, and oxidation

FABRICATION of MOSFETs

Lecture #18 Fabrication OUTLINE

Lithography Tool Package

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Thermal Nanoimprinting Basics

ASAHI KASEI EMD DFR SUNFORT TM

Chapter 2 MOS Fabrication Technology

In-situ Metrology for Deep Ultraviolet Lithography Process Control

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Technical Data Sheet Technisches Datenblatt

Journal of Advanced Mechanical Design, Systems, and Manufacturing

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

Process Flow in Cross Sections

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

3. Overview of Microfabrication Techniques

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

DuPont MX5000 Series

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

Microfabrication of Integrated Circuits

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

Complexity of IC Metallization. Early 21 st Century IC Technology

9/4/2008 GMU, ECE 680 Physical VLSI Design

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Transcription:

Photolithography a complete process of transferring an image from a photographic mask to a resultant pattern on a wafer. A photosensative polymer film is applied to the silicon wafer, dried and then exposed with the proper geometrical patterns through a photomask to UV light or other radiation and finally developed. Depending on polymer used, either exposed or non-exposed areas of the film are removed in the developing process. It require resists, spinner, mask, mask-aligner, developer solution, ovens etc. Resists are made sensative to a) UV light, b) electron resist, c) X-Rays, d) ion beams If light is used to expose the IC pattern, they are called photoresist. Photoresist Photoresist may be either negative or positive. Negative photoresist becomes less soluble in developer solution when they are exposed to radiation Positive photoresist becomes more soluble after exposure. UV Exposure Mask UV Exposure Negative photoresist pattern Positive photoresist pattern Photolithography Silicon Oxide Silicon substrate Photoresist Photoresist Silicon oxide (a) (b) MAsk Photoresist Silicon oxide (c) Exposed Photoresist Silicon oxide (d)

Exposed Photoresist Silicon oxide (e) Silicon oxide (f) Photoresist process. (a) Silicon sheet is covered with oxide. (b) photoresist lacquer is applied to surface. (c) Photoresist is exposed to Ultraviolet light through photomask. (d) Unexposed photoresist is removed with solvent. (e) Silicon oxide is removed by etching. (f)photoresist is removed to leave window in silicon oxide. Photoresist 1. negative acting photoresist :( based on polyisoperene in the form of cyclized rubber -> resin) Involvs two organic reactions, (a) free-radical polymerizatiion of alkenes (hydrocarbon) and (b) photodissociation of azides (highly unstable, librates N 2 in the presens of light ) A sentisizer (bis-aryl diazides ) is added with resin (poly isoperene) for rapid and complete polymerization upon exposure. Exposure of light results in cross-linking of resin molecules by several sentisizer molecules the chain reaction further polymerizes the resin. In addition to resin & sensitizer, resist formation requires a solvent to kep resist fluid for ease of application. For negative resist, solvents are mixure of aliphatic and aryl hydrocarbons. Typical exposure energy required for 1 um thich negative photoresist in 10-20 mj/cm 2. Development The cross-loinking under exposure produces a highly insoluble film. Pattern is formed by washing away the unpolymerized resist with a solvent -> an obvious choice is a hydricarbon mixure similar to the resist solvent. Mild straight-chain hydrocarbon produces a finer line width. The cross linked resin will absorb the solvent and results in swelling and distorting the image. Thus solvent development must be followed by a rinse with a fluid that removes solvent from the resin. Rinser should have an affinity for the developer while not absorbing into the polymerized resin : n-butyl acetate is a common choice. Typical spray develop and rinse times for 1um resist layers are 5-15 sec. 2. Positive Acting Photoresist Positive PR does not rely on polymerization. Sensitizer offers photosensitivitgy. Diazo oxides are used On exposure to UV light, short-lived ketene is formed which, in presence of moisture forms a carboxylic acid. Being an acid, this compound reacts with alkaline material and forms a soluble ester. Exposed resist is washed away in an alkaline solution such as dilute NaOH The resin in +ve PR has no photochemical sensitivity rather it provides chemical resistance, viscosity, stability etc. Resin must be soluble i alkalies to allow exposed resist to dissolve, but must be insoluble enough to prevent excessive dissolution of unexposed resist. In addition to resin & sensitized, a solvent is used such as ethylene glycol monoethyl ether. Optical sensitivity within 300-450 nm light Adhesion is a major concern in positive resist formulation. +ve PR process requires careful substrate treatment and the use of an adhesion prompter HMDS -> HexaMethyl Disilizane

Development Positive PR development is crucial. Both the soluble and insoluble portion of a +ve PR are removed at observable rates by the developer Developing speed is a smoother function of absorbed light and also depends on developer concentration. Development begins at the surface and proceeds downward in both exposed and unexposed area and laterally, widening the walls. Exposure and development times can be manipulated to point wider or narrower geomatries from a a mask plate. Performance comparison of negative and positive Photoresist Negative photoresist Negative resist has limited ability to resolve fine line because of (a) size of molecules and (b) chain reaction. Swelling of polymerized resist during development. Resolution is limited to 2-3 um aspect ratio is small. Large throughoutput Low cost IC Positive photoresist Higher resolution capability (<um) Aspect ratio is well above unity Does not swell during development Lower throughoutput higher cost 1.8 1.4 1.0 0.8 0.4 1000 5000 9000 % Resist remains +ve PR -ve Soluble -Ve PR Response curve Complete E T Soluble At low exposure energy, negative resist completely soluble in developer solution. As exposure is incresed above a threshold energy E T, more of the resist film remains after development. At exposure energy of 2-3 times the E T, very little of the resist film is dissolved. For positive photoresist, the resist solubility in its developer is finite even zero exposure energy. The solubility gradually increases until at some threshold energy, it becomes completely soluble. Thus, positive resist requires more exposure energy than negative resist. Exposure Energy (mj/cm 2 ) = Exposure Intensity X Exposure time.

Photoresist process Cleaning Spin Coat Pre-Bake Develop Post-Exposure Trement Expose Plasma DE-scum Post Bake Etch Strip Flow chart of a typical resist process. Steps in broken lines are not used for all materials. Reprinted from Ref. 8 with permission of the American Chemical Society. Step Comments Adhesion promoter application Resist Application Resist Dry Resist prebake (soft bake) Expose Postexpose bake Resist developing rince Resist postbake (hard bake) Etch Oxide * Sometimes use HMDS after de-hydration bake. Done by dispensing resist onto static or slowly rotating wafer and then rapidly spining. To remove solvents. To slightly harden resist and improve adhesion Defines image in resist Sometimes used to suppress effect of standing waves. Removes unwanted resist. Displaces developer in resist. To improve adhesion and each resistance done at a higher temperature than prebake. Resist must not react with etch or peel from surface. Resist Removal Done with oxygen plasma or hot acid. *Sometimes, another insulator or metal is used. Sometimes photoresist is used as an ion implantation mask rather than as an etch mask. Exposure tool [musk aligner] Exposure tool is evaluated by three parameters : Resolutions are defined in terms of the minimum feature that can be repeatedly exposed and developed in at least 1 um of resist. Registration is a measure of how closely successive mask levels can be overlaid. Throughput is the number of the silicon wafers that can be exposed per hour Edge 1 uncertainty Edge 2 uncertainty Negative tolerance Overlay uncertainty

Nesting tolerance is dictated by three factors: 1. the location of the device feature edges on the silicon wafer may not be exactly as specified by original circuit layout vary from chip to chip on mask for improper exposure = +- 0.2 um. On wafer this variation in resist thickness,exposure and develop condition. 2. Uncertainty involved in aligning due to registration capability and human uncertainty (~+- 0.5 um) 3. Broadening by lateral diffusion nesting tolerance is given by (T) = 3[ (σ f1/2) 2 + (σ f2/2) 2 + (σ r ) 2 ] ½ where σ r is the registration uncertainty and σ f1 and σ f2 are edge feature uncertainty for mask level 1 and 2 respectively. Contact proximity projection ` Light Source Photo Resist Optical System Mask (a) (b) (c) Schematic of three optical lithographic techniques. (a) Contact. (b) Proximity. (c) Projection. 1983, BELL Telephone Laboratories Inc. reprinted with permission. Contact Proximity Projection 1. Contact Printing Lithography OPTICAL LITHOGRAPHIC TECHNIQUES Resist coated silicon wafer is brought into physical contact with the glass photomask. For alignment mask & wafer are separated by 25µm. Operate in the Fresmel diffraction pattern g<d, Very high resolution is possible. Resolution (W) in this case is given by, W~(λS) 1/2, s is photoresist thickness λ is exposure wavelength. For 400 nm UV light and 1µm thick resist, W is less than 1µm. Resolution can be improved by using lower λ and thiner resist. Contact pressure is about 40 to 150 Torr. Problem is dirt damage the mask surface and produces defects in silicon wafers.

2. Proximity Printing Lithography Same as contact method except a small gap, 10 to 25 µm wide, is maintained between the wafer and mask during exposure. This gap minimizes (but not eliminate) mask damage Operate in the Fresmel diffraction pattern where resolution is proportional to (λg) 1/2, λ is exposure wavelength, g is gap between mask and wafer. Resolution is reduced and about 2-4 µm resolution is possible. 3. Projection Printing Lithography 0. An image of the pattern on the mask is projected on to resist coated wafer, which is many centimeter away. 1. Avoids mask damage entirely. 2. Operate in Fraunhofer diffraction pattern L>D 3. To achieve higher resolution, only a small portion of the mask is imaged. For defect free lens system, the resolution limit is determined by Rayleigh limit, Resolution (W) ~ 0.6 λ / NA, NA is numerical aperture of the lens. Depth of focus (Z) =±0.8λ/(NA) 2. µm line resolution is possible. 4. DirectStep on Wafer (DSW) The pattern is stored as information in a computer and directly transferred on to a wafer. DSW is a 'maskless' process and is used in projection printing where the pattern of a single chip can be directly imaged on to a wafer, perhaps with a suitable reduction ratio. Lens system is such that the image can be stepped to the next location and so on. The need for reticle generation and step-and-repeat is completely avoided. The practice ofter is to partition the wafer into segments containing several chips and then image-step in each partition. The registration and alignment mark is made in each partition, which improves layer to layer registration significantly. Advantage in wafer stepping is the 'risk factor'. Defect in reticle may spoil the number of chips in step-andrepeat, which is unlike in DSW. Throughput is less Modulation Transfer Function (MTF) An important parameter in addition to 'resolution' used to characterize a projection system. It relates the incident intensity to the spatial distribution of the intensity of the image on a wafer. Determines the quality of image presented to the resist with respect to the mask image. MTF (v) = M image (v)/m mask (v), v is spatial frequency defined as the inverse of the grating pitch Where, M mask (Modulation of Mask) = (I max I min)/i max + I min Standing Waves Constructive and destructive Interference influence photoresist images Interference between 1 & 4 X-ray Lithography An extension of optical proximity printing in which the exposing wavelength is in the range of 4 to 50 A 0 Reduces diffraction effects due to shorter wavelength of x-rays Possibility of achieving high resolution and high through put at the same time Low energy of soft x-rays reduces scattering effects in both the resist and substrate, no proximity correction is to be made X-rays are not appreciably absorbed by dirt with low atomic no, so dirt on the mask does not primt as a defective pattern in the resist. Low absorption of x-ray resist -> thick resist can be uniformly exposed -> results straight wall resist images exactly replicating the mask patterns.

X-ray Resist Positive resistance 1. PMMA : Ploy methyl methacrylate 2. PBS: Poly (butene-1, sulphone) Developer: 1:1 = Methyl isobutyl ketone : isopropyl alcohol Electon beam irradiation breaks chemical bond and mol wt. Is reduced in irradiated area. If the average mol wt is reduced enough, the irradiated material can be dissolved in a solvent that does not attack high mol wt material. Resolution nearly 0.1 um. Positive resist is slow and it needs much longer exposure time than -ve resistance. Slow resist has generally higher resolution than fast resist Negative resist 1.COP : Poly (glycidylmethacrylate coethyl acrylate) Working principle is based on radiation induced polymer cross linking which causes new bonds to form between adjacent chains and creates an complex three dimension structure with higher molecular weight than surrounding nonirradiated area. Swelling during development limits resolution ~ 1um. Resist name λ (A o ) Sensitivity (mj/cm 2 ) Resulution (μm) PMMA (+) 8.34 AlK α 600-1000 <0.1 PBS(+) 4.37 Pd L α 94 0.5 COP(-) 4.37 Pd L α 175 1.0 X-rays with wavelength between 1 and 50 A0 (photon energy between 10 0.25 kev) suffer negligible scattering as they go through resist material -ve resist swell and contract during wet chemical development process. Dry development by plasma processing avoids swelling problem and improves resolutions Negative x-ray resist with sensitivities below 10 mj/cm2 have been made by incorporating cl into resist polymerization. The sensitivity of these resist is not adequate to achieve the goal of high resolution and high throughput. Sensitivity can be increased by increasing the x-ray absorption in the resist. The absorption of x-rays is given by I=I O exp (- α t ) where t= thickness of the resist, α = linear absorption co-efficient, I & I O are intensities after and before absorption. Absorption coeff.(α ) may be increased by increasing λ of x-rays. X-ray Mask Gold is absorbing material for X-Rays. Membrane material: Polymide, SiC,Si 3 N 4,Al 2 O 3 Or Sandwitch 0.6 μm Gold

An x-ray mask consists of a patterned metal x-ray absorber on a thin membrane that transmits x-rays. Thickness of these absorbing material are determined by 1) x-ray wavelength of interest, 2) the absorption coefficient of the material and 3) contrast required by the resist of an image Membranes are highly transparent to x-rays so that exposure times are minimized, dimensionally stable, rugged enough to be handled frequently. Schematic of X-Ray Lithography Aligner Water Coolent Target (Pd) X-Rays [4.37Ǻ] Be Windows Electron Gun [25KV,4-6 KW] He (Prevents air from absorbing X-Rays) Mask (X-Ray absorbing pattern) Mask & wafer separation ~ 40, Optical Alignment Mask Wafer X-ray lithography offers best conditions for achieving sub-micron resolution with high wafer throughput Full wafers can be exposed in about 1 min. using existing resist and x-ray sources, with resolution better than 0.5 um. Deep UV Lithography Deep UV Lithography Spectral range -> 200 to 300 nm Standard lithography spectral range -> 310 to 400nm Commercial deep UV source -> Xenon mercury lamp with low intensity To achieve straight wall resist image profiles, the resist must absorb a small percentage of incident radiation Too small absorption increases exposure time and throughput is less Usually e-beam resist is used for deep UV lithography Use of deep UV and quartz mask plate instead of glass increases resolution to merely 0.5 μm. Electron-Beam Lithography Designed for optimum performance in research & development Focused electron beam of dia 0.01 to 0.5 µm is used Used in production of photomask Used in direct writing on silicon wafer Less throughput (1 mask per hour) Alignment accuracy ±0.2µm Ion-Beam Lithography Ions have heavy mass than electrons Less proximity effect due to back scattering Less scattering effect High resolution Inorganic Resist Germanium selenide glass solvable in alkaline solution but when doped with silver, it is not soluble Silver is coated on Gese and light induced silver migration, called Photodoping is used for photoresist application

Mask Film Any edge profile, corresponding to etching just to completion, which lies between the extremes depicted in Fig. 3A and 3b results from an etch rate that is anisotropic. We can define the degree of anisotropy A f = 1- (V i / V v ) ----- (1) where V i and V v are the lateral and vertical etch rates, respectively. With reference to a feature etched just to completion, Eq. 1 can be written: A f = 1- ( B /2h f ) ----------- (2) Where B is the bias and h f is the film thickness. Thus for isotropic etching A f = 0 represents anisotropic etching. In practice the term anisotropic etching is often taken to mean the extreme case, A f = 1. dm l dm d f d f d f h f Bias = B = d f - l = 2 X underc Design mask for equal lines and spaces on film. L = d f - B -------- (3) and substituting from Equation (2 )l = d f [1-{2.h f(1-a f)/d f}] ------------ (4) Etching bias, Anisotropy, Selectivity Film Start Mask Film After Lithography Mask Etch Deposit After Mask Removal (a) (b) Schematic illustration of (a) subtractive and (b) additive methods of pattern transfer.

d m Mask d m Film d f d f Space Line Bias = B = d f d m = 2 X Undercut Each bias is a measure of the amount by which the etched film undercuts the mask at the mask-film interface. Over etching because of TOPOGRAPHY Film II Residue FilmI h 1 + h 2 h1 h 2 FilmI Film II Prior to each Etched to ENDPOINT If etching is anisotropic, over etching is needed to remove residual material at steps. (A f = 1) Assume perfect uniformity of and etch rates, Assume perfect anisotropy (A f=1) Time to etch film=h 2/v f Time to etch sidewall filament residue = h 1/V f Total Time = h 2/V 1 + h 1/V f = h 2/V f (1+h 1/h 2) = h 2/V f (1 + Δ ) Where Δ ( = h 1/h 2): fractional overetch time because of topography. is exposed to etch for (h 1/V f) for etching h s of substrate. Thus, V sub = h s / (h 1/V f) So, film to substrate selectivity is given by, S fs = V f/v sub = V f/[h s/(h 1/V f)] = h 1/h s = h 2/h s * h 1/h 2 = h 2/h s * Δ Selectivity of etchants. Suitable etch recipe is to be searched so that the film etches much faster than mask material or substrate Film to mask selectivity Sfm = Vf/Vm = Etch rate of film/etch rate of mask Film to substrate selectivity = Sfs = Vf / Vsub = Etch rate of film/etch rate of substrate. Minimum required selectivity of etch depends on : δ = Fractional film thickness thickness φ f = Fractional Etch Rate variation Δ = Fractional over Etch Required because of topography θ = mask edge profile angle φ m = Fractional mask Etch Rate variation V v = maximum vertical etch rate of mask. V l = maximum lateral etch rate of mask. W = Loss of line width acceptable because of mask erosion A f = Anisotropy of film etch Please find more related Document in http://www.freewebs.com/kalyan_prodhan/