Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Similar documents
EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

micro resist technology

Photolithography I ( Part 2 )

EECS130 Integrated Circuit Devices

Microelectronic Device Instructional Laboratory. Table of Contents

Fabrication Technology, Part I

Chapter 3 Silicon Device Fabrication Technology

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

micro resist technology

GLM General information. Technical Datasheet

Photolithography Process Technology

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Czochralski Crystal Growth

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Preface Preface to First Edition

Today s Class. Materials for MEMS

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Introduction to Nanoscience and Nanotechnology

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Gaetano L Episcopo. Introduction to MEMS

Mostafa Soliman, Ph.D. May 5 th 2014

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

IC/MEMS Fabrication - Outline. Fabrication

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Lab #2 Wafer Cleaning (RCA cleaning)

Micromachining AMT 2505

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Processing guidelines

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

UV15: For Fabrication of Polymer Optical Waveguides

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Lect. 2: Basics of Si Technology

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Introduction to Lithography

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Review of CMOS Processing Technology

Mikrosensorer. Microfabrication 1

Fabrication Technology

Micromachining vs. Soft Fabrication

Silicon Manufacturing

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

The Physical Structure (NMOS)

Chapter 2 Manufacturing Process

Semiconductor device fabrication

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Fabrication and Layout

Atomic Layer Deposition(ALD)

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Surface micromachining and Process flow part 1

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

EELE408 Photovoltaics Lecture 02: Silicon Processing

Polymer Microfabrication (Part II) Prof. Tianhong Cui, Mechanical Engineering ME 8254

Evolution of MEMS Technology

Chapter 2 OVERVIEW OF MEMS

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Supporting Information

Available online at ScienceDirect. Procedia Materials Science 6 (2014 )

Surface Micromachining

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

Chemical Vapor Deposition

Lecture 22: Integrated circuit fabrication

Chapter 2 MOS Fabrication Technology

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

More on VLSI Fabrication Technologies. Emanuele Baravelli

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Major Fabrication Steps in MOS Process Flow

Knowledge Probe Deposition PK Activities. Participant Guide

VLSI Technology. By: Ajay Kumar Gautam

Introduction to Microfabrication Techniques

Advanced Polymers And Resists For Nanoimprint Lithography

Transcription:

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)& M105& As of: 27.10.2011 1 Introduction... 2 2 Materials used in MEMS fabrication... 2 3 MEMS fabrication processes... 2 3.1. Deposition processes... 3 3.2. Photolithography... 3 3.2.1. Preparation... 3 3.2.2. Application of photoresist... 3 3.2.3. Exposure... 4 3.2.4. Development... 5 3.2.5. Etching processes... 5 4 Applications... 6 5 Experimental... 6 6 Evaluation... 7 7 Questions... 7 8 Bibliography... 8

1 Introduction Microelectromechanical systems (MEMS) is a technology involving very small mechanical devices driven by electricity and having at least some of their dimensions in the micrometer range. Typical MEMS consist of components with sizes ranging from 1 to 100 µm. The whole MEMS device generally ranges in size from 20 µm to 1 mm. It usually consists of a central unit that processes data, the microprocessor and several components that interact with the environment, such as pressure sensors, accelerometers or gyroscopes. In the 1980s, MEMS fabrication was almost exclusively based on processes and materials borrowed from IC (integrated circuit) fabrication labs. In the 1990s, additional techniques including molding, plating, wet and dry etching and other technologies capable of manufacturing small devices were developed. To make new applications possible, many quite exotic materials were integrated into MEMS devices. For applications in the fields of medicine and biochemistry, these materials include, for example, gas-permeable membranes, enzymes, biological cells and antibodies. 2 Materials used in MEMS fabrication Silicon Silicon is the material used to create most integrated circuits in consumer electronics today. It is also an attractive material for the production of MEMS, as it displays many advantageous mechanical and chemical properties. Single crystalline silicon is an almost perfect Hookean material. This means that when silicon is bent, there is virtually no hysteresis and hence almost no energy loss. This property makes it the ideal material where many small movements and high reliability are required. Silicon shows very little fatigue and can achieve service lifetimes in the range of billions to trillions of cycles. Other materials Besides silicon, some other metals and polymers can be used to form MEMS elements or functional layers. The common fabrication processes for metals, such as gold, nickel, copper, titanium, silver and several more, are electroplating, evaporation and sputter deposition. Polymeric MEMS can be produced by using injection molding, embossing or stereolithography. These MEMS devices are especially well suited to microfluidic applications, such as disposable blood testing cartridges. 3 MEMS Fabrication processes The fabrication of MEMS can generally be divided into three sections: the deposition of additional layers onto a planar substrate; the patterning of these layers, for example by photolithography; and the subsequent selective etching of the applied pattern. 2

3.1. Deposition processes In MEMS fabrication, it is of great importance to be able to deposit homogeneous thin films of material having a thickness of a few nanometers to about 100 µm. Depending on the material to be deposited, there are many different deposition processes available: physical vapour deposition (PVD) techniques, such as sputtering, molecular beam epitaxy, laser sputter deposition or ion plating; chemical vapour deposition (CVD) techniques, the sol-gel deposition technique, screen printing, plastic spraying, dip and spin coating, glow discharge polymerization, thermal oxidation and several more. 3.2. Photolithography Photolithography is a process widely used in the fabrication of integrated circuits or MEMS. With this process, material can be selectively removed from or added to the substrate. Photolithography uses light to transfer a geometric pattern from a mask on to a photosensitive layer on the wafer surface. In a subsequent step, it is then possible to either transfer this pattern to the underlying substrate by chemical treatments or to selectively deposit material by sputter deposition, for example. In a complex integrated circuit such as a modern CMOS (complementary metal oxide semiconductor), a wafer will go through the photolithographic cycle up to 50 times, so an accurate positioning of the wafer with respect to the mask is of utmost importance. 3.2.1. Preparation In the first step, possible organic or inorganic contamination is removed from the wafer surface by a wet chemical cleaning treatment. The standard cleaning process developed by the Radio Corporation of America (RCA) involves, for example, three cleaning steps based on solutions containing hydrogen peroxide. In the following step, the wafer is heated to a temperature high enough to drive off any remaining moisture from the wafer surface. This baking is done in order to improve the adhesion of the photoresist layer. To further enhance the adhesion of the photoresist layer to the wafer surface, a liquid or gaseous adhesion promoter, for example hexamethyldisilazane (HMDS), is applied. In fact, the term "adhesion promoter" is not fully correct, as the surface layer of SiO 2 on the wafer reacts with the agent to form methylated silicon-hydroxide, a highly water repellent layer. It prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface and thus prevents unwanted lifting of small photoresist structures in the pattern. 3.2.2. Application of photoresist The application of photoresist onto the wafer takes place by spin coating. For this, the photoresist is dispensed from a viscous solution of the polymer onto the wafer. To create a uniform film, the wafer is then spun at high speed of between 1500 and 8000 revolutions per minute (rpm), depending on the viscosity of the photoresist and the desired film thickness. The high uniformity of these films in the range of 5 to 10 nm can be explained by fluidmechanical modelling. It can be shown that the resist moves much faster at the top of the layer than at the bottom, where viscous forces bind the resist to the wafer surface. After the spin coating process, the photoresist still contains up to 15% solvent and may contain built-in 3

stresses. Therefore the wafers are soft-baked at 75 C to 110 C, depending on the resist used, to remove solvent and stress and to promote adhesion of the resist layer to the wafer. 3.2.3. Exposure In the next step, the photoresist is exposed to a pattern of intense UV-light. For so-called positive photoresists, the photochemical reaction during the exposure weakens the polymer by rupture or scission of the main and side polymer chains. Then, the resist in the exposed regions is about ten times more soluble than in the non-exposed regions. In so-called negative photoresists, the exposure to UV-light strengthens the polymer by random cross-linkage of side and main chains. The exposed part of the resist becomes less soluble. Figure 1. Pattern transfer for positive and negative resist This chemical change allows some of the photoresist to be removed with a special solution, called the "developer". Exposure systems Exposure systems typically produce an image on the resist-covered wafer using a photomask that blocks UV-light in some areas and lets it pass in others. Exposure systems may be classified by the optics that transfer the image from the mask to the wafer. Contact and proximity printing In contact printing, a photomask is put in direct contact with the wafer and both parts are exposed to uniform light. A proximity printer puts a small gap of about 10µm between the photomask and wafer. In both cases, the mask covers the entire wafer and the patterns on the mask are transferred to the wafer one to one. The problems in contact printing are the possible damage of mask and wafer and contamination of the mask by sticking photoresist. These were the reasons why this method was considered unsuitable for high volume production. In research and prototyping processes 4

on the other hand, contact lithography is often used, because it uses inexpensive hardware and can achieve high optical resolution. In proximity printing, the spacing between mask and wafer minimizes the defects that result from contact. On the other hand, diffraction of the transmitted light reduces the resolution. The degree of reduction in resolution and image distortion depends on the wafer-to-mask distance, which may vary across the wafer. The resolution in contact and proximity printing can be described by the following formula: R = 1.5 [λ (s + 0.5z)] with R: resolution, λ: wavelength of the exposing radiation, s: gap between mask and photoresist surface and z: photoresist thickness. Both contact and proximity lithography require the light intensity to be uniform across an entire wafer, and the mask to align precisely to features already on the wafer. As modern processes use increasingly larger wafers, these conditions become increasingly difficult. Projection printing In very-large-scale integration lithography, projection systems are used. Unlike contact or proximity masks, which cover the entire wafer, projection masks show only one die or an array of dies and, in contrast to the contact or proximity printer, they have additional lenses between mask and wafer. Projection exposure systems, also called steppers, project the mask onto the wafer many times to create the complete pattern. The pattern on the mask in this exposure system is not transferred to the wafer one by one, but is miniaturized up to 5 times. 3.2.4. Development After the exposure, the wafer must be developed in order to create a three dimensional structure from the photoresist layer. Generally there are two main technologies for the development: wet development and dry development. Wet development can be based on three different radiation induced changes in the photoresist: change in molecular weight by cross linkage or chain scission, change in reactivity, or change in polarity. During wet developing, the wafer is immersed in the developer for a certain time period and constantly moved. Positive photoresists are typically developed in aqueous alkaline solutions, and negative resists in organic solutions. Alternatively, parts of the photoresist may be removed by dry etching in a plasma that contains oxygen. The three dimensional pattern is formed, because the exposed part of the photoresist exhibits a different etching rate compared to the non-exposed part. 3.2.5. Etching processes In order to transfer the pattern formed by the photoresist layer to the underlying substrate, an etching process has to be performed. This can generally be done by two different techniques: wet etching and dry etching. 5

In wet etching processes, the material is dissolved when immersed in a chemical solution. This process is often used in MEMS in order to release suspended structures from underlying layers by underetching. In general, good selectivity can be obtained, which means that the etching rate of material to be removed and mask differ by a great amount. In dry etching processes, various gases are used to remove material. An common technique is reactive ion etching (RIE). Here the substrate is placed inside a reactor into which several gases are introduced. With a radio frequency (RF) power source, a plasma is established in the gas mixture, which breaks the gas molecules into ions. The ions are accelerated towards the surface of the material to be etched, react with it and form other gaseous materials. This process is the chemical part of RIE. The physical part of this dry etching process resembles the sputter deposition process. Ions of high kinetic energy can knock atoms out of the material to be etched without a chemical reaction. The development of dry etch processes that balance chemical and physical etching is a highly complicated task, as there are many different parameters to adjust. By changing the balance, it is possible to influence the anisotropy of the etching. Since the chemical part is isotropic and the physical part highly anisotropic, the combination can form sidewalls that have shapes from rounded to vertical. With a special process called the Bosch process, it is even possible to form structures with vertical side walls at an etch depth of several hundred micrometers. A disadvantage of reactive ion etching is that for many materials there are no suitable gases or those used are highly poisonous. 4 Applications Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper. Accelerometers in modern cars for a large number of purposes, including airbag deployment in collisions. Accelerometers in consumer electronics devices, such as game controllers (Nintendo Wii), personal media players and mobile phones (Apple iphone, various Nokia mobile phone models, various HTC PDA models) and a number of digital cameras (various Canon Digital IXUS models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss. MEMS gyroscopes used in modern cars and other applications to detect yaw and deploy a rollover bar or trigger dynamic stability control. Silicon pressure sensors, for example car tire pressure sensors and disposable blood pressure sensors. Optical switching technology which is used for switching technology and alignment for data communications. Bio-MEMS applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis (biosensor, chemosensor). 5 Experimental Due to limited time, the focus of this lab course will be lithography processes needed to fabricate MEMS devices. For the fabrication of MEMS, the transfer of a mask pattern to a silicon wafer can be done with photolithography. For this, two to three 4 inch silicon wafers (depending on the number of students) will be processed as follows: 6

use the HMDS oven to cover the wafer with HMDS spin on positive photoresist AZ1518 on the front side of the wafers (2850rpm) soft bake the wafers on a hot plate at 105 C for 1 min determine the UV-intensity distribution of the lamp of the mask aligner and calculate the mean value load the mask in the mask aligner adjust the setting of the mask aligner for o proximity style patterning with an alignment gap of 100µm and a print gap of 16µm o soft contact style patterning o vacuum contact style patterning adjust the exposure time to obtain a dose of 50 mj/cm² using the previously obtained mean value for the UV-intensity load the soft baked wafers into the mask aligner expose the wafers to UV-light note the exposure time develop each wafer while constantly stirring the wafer. Developer: AZ MIF 726, developing time: 40-60 s. The wafers must be developed immediately after exposure! rinse the wafers in deionized (DI) water for 2 min and dry them in the spin dryer inspect the wafer under the microscope o measure the patterning and compare the results to the patterning of the mask (provided by the supervisor) measure the resist thickness with the profilometer clean the spin-coater chuck and the hotplate with acetone and isopropanol. Blow dry with N 2. 6 Evaluation Determine the UV-intensity distribution and calculate the mean value, the deviation and the necessary exposure time. Determine the resist homogeneity for the various exposure modes. Calculate the edge steepness of the photoresist pattern from the profilometer data for the various exposure modes. Compare the feature size of the mask with the feature size on the differently processed wafers. Compare the results of the different exposure modes. Feature size, edge steepness, resist homogeneity, resist thickness, 7 Questions 1. Why is there only yellow light in the photolithography rooms? 2. What is the purpose of HMDS? 7

3. How thick is the photoresist layer? Which parameters influence the photoresist layer thickness? 4. Why do we soft bake the wafers? 5. What is the difference between proximity and soft/vacuum contact exposure? Describe the advantages and disadvantages of the different exposure modes in your own words. 6. What is the difference between positive and negative photoresist? 7. What are the critical parameters of lithography? Which resolution can be achieved? 8 Bibliography M.J. Madou: Fundamentals of Microfabrication the science of miniturization W. Menz, J. Mohr, O. Paul: Mikrosystemtechnik für Ingenieure S.D. Senturia: Microsystem Design 8