Design, Synthesis, and Characterization of Poly(trimethylsilylmethyl methaciylate-cochloromethyl styrene) for 193 nm Exposure

Similar documents
Introduction to Nanoscience and Nanotechnology

Research on a silicon containing electrom beam resist for use in optical mask manufacture

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

micro resist technology

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

micro resist technology

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

RIE lag in diffractive optical element etching

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Step and Flash Imprint Lithography for sub-100nm Patterning

Processing guidelines

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

UV15: For Fabrication of Polymer Optical Waveguides

MCC. NANO PMMA and Copolymer

Application Notes: PixClear for OLED Internal Light Extraction Rev /4/17

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Dow Corning WL-5150 Photodefinable Spin-On Silicone

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Photolithography I ( Part 2 )

Technical Data Sheet Technisches Datenblatt

Fabrication of photonic band-gap crystals

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

Fabrication Technology, Part I

Supporting Information

Supporting information. Activated Ester Middle Block: One-step Synthesis. and Application as Locally Reactive Nanoporous.

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Photolithography Process Technology

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

Supporting Information. LCST-Type Phase Separation of Poly[poly(ethylene glycol) methyl ether methacrylate]s in Hydrofluorocarbon

SUPPLEMENTARY INFORMATION

Resist Characterization for EUV- Lithography

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

Microelectronic Device Instructional Laboratory. Table of Contents

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE

Supporting Information for

Supporting Information: Gold nanorod plasmonic upconversion microlaser

An easily coatable temperature responsive cholesteric liquid. crystal oligomers for making structural colour patterns

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting

ABSTRACT. which indicates the implanted region did not adequately inhibit the diffusion of oxygen.

Hydrogenated Amorphous Silicon Nitride Thin Film as ARC for Solar Cell Applications

Hybrid BARC approaches for FEOL and BEOL integration

Advanced Polymers And Resists For Nanoimprint Lithography

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Three-dimensionally Packed Nano-helical Phase. in Chiral Block Copolymers

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Supplementary Materials for

Fabrication of Infrared Antennas using Electron Beam Lithography

Ceramic Processing Research

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors.

Major Fabrication Steps in MOS Process Flow

Supporting Information. Anti-Fogging/Self-Healing Properties of Clay- Containing Transparent Nanocomposite Thin Films

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

RAFT Polymerization of an Intrinsically Stretchable Water-Soluble Block Copolymer Scaffold for PEDOT

MEMS Surface Fabrication

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

TEMPERATURE-DEPENDENT REFRACTIVE INDICES OF OPTICAL PLANAR WAVEGUIDES

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

EUV optics lifetime Radiation damage, contamination, and oxidation

Electronic Supplementary Information

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Production and analysis of optical gratings and nanostructures created by laser based methods

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

average diameter = 3 nm, from PlasmaChem) was mixed in NLCs to produce QDembedded

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

Supporting Information

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti,

Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications

Supporting Information

INTERVIA BPP-10 Photoresist

Ellipsometry as a tool for identifying process issues in roll-to-roll sputter deposited metal-oxide coatings

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Influence of Composition on Ultraviolet Absorption Spectra Styrene-Alkyl Methacrylate and Styrene-Alkyl Acrylate Random Copolymers

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Thermal Nanoimprinting Basics

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Cubic CeO 2 Nanoparticles as Mirror-like Scattering Layer for Efficient Light Harvesting in Dye-Sensitized Solar Cells

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Eco-Friendly Photolithography Using Water- Developable Pure Silk Fibroin

Supporting Online Material for

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Synergetic plasmonic effect of Al and Au nanoparticles for efficiency. enhancement of air processed organic photovoltaic devices

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Supporting Information for. Conjugated polymer composite artificial muscle with solvent-induced anisotropic mechanical actuation

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

Three-dimensional binary superlattices of magnetic nanocrystals and semiconductor quantum dots

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Transcription:

Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 1995 Design, Synthesis, and Characterization of Poly(trimethylsilylmethyl methaciylate-cochloromethyl styrene) for 193 nm Exposure Bruce W. Smith Rochester Institute of Technology David A. Mixon AT&T Bell Labs Anthony E. Novembre AT&T Bell Labs Shahid Butt Rochester Institute of Technology Follow this and additional works at: http://scholarworks.rit.edu/other Recommended Citation Bruce W. Smith, David A. Mixon, Anthony E. Novembre, Shahid A. Butt, "Design, synthesis and characterization of poly(trimethylsilylmethyl methacrylate-co-chloromethyl styrene) for 193-nm exposure", Proc. SPIE 2438, Advances in Resist Technology and Processing XII, (9 June 1995); doi: 10.1117/12.210400; https://doi.org/10.1117/12.210400 This Conference Proceeding is brought to you for free and open access by RIT Scholar Works. It has been accepted for inclusion in Presentations and other scholarship by an authorized administrator of RIT Scholar Works. For more information, please contact ritscholarworks@rit.edu.

Design, synthesis, and characterization ofpoly(trimethylsilylmethyl methaciylate-co-chloromethyl styrene) for 193 nm exposure Bruce W. Smitht, David A. Mixon*, Anthony E. Novembre*, Shahid BUilt trheer Institute oftechnology, Rochester, N.Y. 14623-5604 *AT&T Bell Laboratories, Murray Hill, N.J. 07974 ABSTRACT Requirements ofmaterials for lithography at 193 am limit single layer resist candidates to those with high optical transmission. A random copolymer oftrimethylsilymethyl methacrylate (SI) and chloromethyl styrene (CMS), [P(SI-CMS)], has been shown to be highly sensitive negative 193 nm resist in both bi-layer and single layer modes. Such resists show maximum sensitivity with an optical absorbance ofthe coating oflog10e, or 0.434. Through control ofthe mole ratio ofthe monomers in the P(SI-CMS) copolymer, absorbance values have been optimized for film thicknesses of0.2-0.3 pmfor 90: 10 SI:CMS, 0.35-0.45 m for 95:5 SI:CMS and 0.55-0.65 pm for 98:2 SI:CMS. Optical absorbance ofthe CMS is at a maximum in the 190 to 205 nm range, allowing the copolymer to be tailored for a large degree ofcrosslinking at a relatively low CMS concentration. Spray development of these materials is performed with ethanol, followed by a two step methanol I isopropanol-water rinse. Sensitivities are on the order of 4 to 20 mj/cm2 atm near 40 000 g/mol. Furthermore, these ratios have been found to produce optimum 02 etch resistance. 1. INTRODUCTION Resist materials based on silicon containing methacrylate monomers have been demonstrated to be effective positive patterning masks for 02 pattern transfer into hard-baked novolac-based resist for electron beam and 248 nm lithography.' A random copolymer oftrimethylsilylmethyl methacrylate (SI) and chioromethyl styrene (CMS) has been shown to be a sensitive negative-acting resist material for these exposure applications, exhibiting 02 ''E resistance for use in bi-layer processing.2 Due to the high optical transmission properties of the silicon methacrylate monomer, this copolymer, designated P(SI-CMS), has potential for application at 193 am. An analysis of radiation attenuation within a resist film can be utilized to determine optimum optical properties of a resist material. In a crosslinking resist, a fully networked structure throughout the resist film is desired with a minimum amount of exposure. This is accomplished when the molar extinction coefficient of the component responsible for crosslinking is sufficiently high at the exposing wavelength. Through adjustment of the molar concentration of this component within a resist, the absorbed energy throughout the film thickness can be controlled. For a given film thickness, an optimum optical absorbance (1og10(T)) of 0.434 is desired for minimum attenuation through the bulk ofthe resist film with sufficient absorption at the film base so that photochemistry may occur. By targeting this absorbance through control ofthe mole ratio of SI and CMS monomers, the optical properties ofp(si-cms) have be optimized for specffic resist thicknesses. This allows for optimal resist films for use in an oxygen RIE bi-layer pattern transfer process and introduces potential for P(SI-CMS) in single layer application. 2. 1. Polymer synthesis and characterization 2. EXPERIMENTAL Poly(trimethylsilylmethyl methacrylate) [P(SI)J and various compositions ofp(si-cms) were synthesized for. 193 mu exposure evaluation. Trimethylsilylmethyl methaciylate and chloromethylstyrene were obtained from Huls America, Inc. and Dow Chemical Company respectively. Both monomers were purified by distillation at reduced pressure prior to use. Polymers were prepared by free-radical solution polymerization at 95 C in toluene. Reactions were initiated using Vazo 67 (DuPont). Reactions were carried out to a monomer conversion of-60%, and copolymer compositions were adjusted using reactivity ratios for SI and CMS of 0.49 and 0.54 respectively.4 504 / SP!E Vol. 2438 0-8194-1786-6/95/$6.O0

Polymer was recovered from the reaction solution by precipitation in a mixture of methanol and propylene glycol (3 :2 volume ratio) at 8 C. The polymer was then purified by dissolving in acetone and precipitating in a mixture of methanol and water (2: 1 volume ratio). The product was then vacuum dried at ambient temperature. Copolymer compositions were determined through combustion analysis for elemental chlorine and are accurate to O.5 mole %. Molecular weights were measured using high pressure size exclusion chromatography. Resist films were spin coated from degassed ethyl 3-ethoxypropionate (EEP). Scission yield (Ge) ofp(si) was carried out by 193 nm exposure of coated resist material to a dose of 1 J/cm2 and measuring the induced molecular weight change (ATN anda4). UV absorption measurements offlims coated onto fused silica substrates and baked at 140 C for one hour in a convection oven were obtained on a Perkin Elmer Model UV-Vis 552 spectrometer, using a deuterium lamp for measurement in the wavelength range of 190 to 225 am. 2.2. Evaluation oflithographic properties A 20X small field refractive projection system, operating at a numerical aperture ofo.38 and a partial coherence of 0.6 was used for lithographic exposures. A Lumomcs EX700 ArF laser, spectrally narrowed with dual Fabry Perot etalons, was used to deliver the spectral bandwidth required at the specified numerical aperture. Exposure energies delivered to the wafer plane were approximately 0.8 nj/cm2 per pulse. Resist materials were coated over a 0.5 to 1.0 pm thick film ofa hard-baked novolac-based resist (Shipley 812, 200 C! 30 minutes, convection oven), and prebaked at 90 C for 30 minutes in a convection oven. Resist development was performed in an APT915 spray processor using ethanol, followed by a two step rinse in methanol and isopropanol/water (7:3 volume ratio). Characteristic exposure response curves were generated for each formulation by coating the copolymers directly onto 4" fused silica wafers and bulk image exposing through the substrate. Thickness measurements were carried out using an Alpha Step surface profilometer. A Phillips 501 SEM was used to evaluate patterned image quality and resolution. A PlasmaTherm parallel plate reactive ion etch system operating at 100 W power, 8 mtorr pressure, and 25sccm 02 was used to evaluate copolymer etch rate and selectivity to hard-baked novolac. 3. 1. Polymer synthesis and properties 3. RESULTS Figure 1 shows the chemical structure ofthe repeat unit in P(SI) and P(SI-CMS). While the SI:CMS mole ratio in the copolymer has been varied to obtain optimum absorbance, A4 has been maintained at values near 40 x io g/mole for each material to achieve highest resolution. Preliminaiy absorption measurements were made for a copolymer sample having a 90: 10 SI:CMS mole ratio and a M,of40 x io glmole. Absorption measurement of the 90:10 mole ratio P(SI-CMS) yielded an absorbance value of 1.81/tm, which corresponds to a required film thickness of2400 A for an ideal absorbance ofo.434. From these results, copolymer compositions were targeted to allow for a range ofresist thicknesses up to 6000 A. Copolymers with compositions of95:5 and 98:2 SI:CMS were targeted to produce estimated absorbance values of 1. 13/tm and 0.74/pm respectively, corresponding to optimal thicknesses of 3800 A and 5900 A. Table 1 lists the resulting weight percent chlorine, mole ratio SI:CMS, and molecular properties of the copolymers investigated. Molecular weights for all formulations were held near 40 x io g/mole. The corresponding number average degree ofpolymerization (X) for formulations have been calculated based on their composition and molecular weight. The variation in number average degree of polymerization for the 90: 10, 95:5, and 98:2 materials is within 6% Absorption measurements were also made for a sample ofpoly(trimethylsilylmethyl methacrylate) cast from EEP. The absorption spectrum for this polymer is shown in Figure 2. Absorbance of the poly(trimethylsilylmethyl methaciylate) measured O.4O/tm at 193 am. To evaluate the extent ofbackbone scissiomng in the P(SI) polymer, the scission yield, G, was determined through measurement of the molecular weight change from 193 am irradiation. To account for both crosslinking and scissioning of the polymer upon irradiation, both scission yield and crosslinking yield, G, were determined by measuring M and M. ofirradiated and unirradiated samples. Simultaneous solutions of equations relating MJ and M,values result in G and G values5: SPIE Vol. 2438 / 505

_i = i D(G-G) MN Mp 100NA _L _L + D(G5-4G) 2cJ X? 100NA where D is exposure dose, expressed in electron volt per gram and N is Avagadro's number. Resist films were coated on 2" wafers, at a thickness of 14600 A, which yielded approximately 1.3 mg ofpolymer per wafer. Initial MN0 for the polymer was 42 x io g/mole and XQ was 71 x io g/mole. The exposure dose of 1 J/cm2 given to the films is equivalent to 4.84 x 1021 ev/g, resulting in a molecular weight change to 20 x io g/mole for M and 48 x io g/mole fora4. Resulting G and G1values are 0.41 and 0.08, respectively. Although chain scissioning is dominant (G = 5.12 G), the magnitude ofthe scission yield is low. It can be expected, therefore, that chain degradation in the P(SI-CMS) at 193 nm is low. The UV absorption spectra for copolymers are shown in Figure 3. Although absorption at 193 nm is due to a large extent to the CMS moiety, absorbance ofthe SI moiety is 0.40/pm. Based on the measured absorption properties ofthe P(SI-CMS) formulations prepared, optimum thicknesses to produce an optical absorbance of 0.434 have been determined and are shown in Table 2. 3.2. 193 nm exposure response P(SI-CMS) films were coated onto fused silica substrates by spin casting resists dissolved in EEP at concentrations ranging from 8-20 wt./vol. %. Characteristic exposure response curves for formulations are shown in Figure 4. Values for incipient gel dose (Di'), sensitivity (Dg 5, dose required for 50% film retention), % film loss, and contrast (y) are given in Table 3. Absorption via the CMS moiety is responsible for crosslinking, and is extremely efficient at 193 nm. Neglecting competing chain degradation, the effective quantum yield of crosslink formation can be estimated from D' values obtained via exposure through a transparent support as q5 = td/(am,d9 where tis resist thickness, d is specific gravity [1.19 for P(SI-CMS)], anda is absorption (1-7). Calculated values for effective quantum yield are included in Table 3. A contrast ('y) value near 2 for all three materials is relatively high. However, when compared to values reported previously for 248 mn exposure (7248 3), there is a significant decrease.6 Since the absorption of the trimethylsilylmethyl methaciylate is very low at 248 nm (absorbance is 0.01/pm), this is likely an indication of the presence of radiation induced scissioning effects in the P(SI-CMS) at 193 nm exposure. The measured contrast at 193 is closer to that reported for electron beam exposure ('y - 1.5), indicating that the scissioning events are similar to those occuning for electron beam irradiation. A fimction which relates the gel fraction W with exposure E can be determined from the Flory function if the molecular weight distribution of a polymer is known. This function depends on the dispersity of the polymer and is characterized by a single parameter fi, the width ofthe lognonnal molecular weight distribution. Using a measured dispersion value of 1.42 for the 90: 10 SI:CMS copolymer sample, a fivalue of 0.59 results. To extend measured material parameters to resist films coated over a non-reflecting support and exposed from the front side, calculations for Dg 5 were made based on a generalized Flory function and a fundamental exposure relationship for crosslinking resists:5 D D 5 = 1 7_i = 1 7 2 T AMWIT where T is transmission through the resist film. Exposure values required for Dg 5 were calculated and plotted for film thicknesses ranging from 2000 A to 10000 A and are shown in Figure 5. Additionally, ideal exposure values required for materials with film absorbance of exactly 0.434 for any thickness are plotted. As seen from this plot, required exposure values increase substantially as films increase beyond ideal thicknesses of 2400, 3700 and 5500 A for the 90:10, 95:5 and 98:2 materials respectively. Additionally, two relationships are evident. At film thicknesses near 2000 A, quantum 506 ISPIE Vol. 2438

efficiency dominates as exposures required for higher 1 materials are less than those required for lower 1 materials. As thickness increases, however, optical effects dominate and exposures required for lower absorption materials are less. It can be expected that as film absorption forces high levels of exposure, the ability of resist films to be imaged through an entire coating thickness will be reduced. 3.3. Lithographic performance P(SI-CMS) materials were spin coated at thicknesses of 2000, 3000, 4000, and 5000A. All films were coated over 6000 A of hard-baked novolac resist, which allowed for control ofrefiection effects at the resist/substrate boundaiy. A line and space grating mask with patterns from 0.10.tm to 1.0 im was used to expose materials in 0.8 mj/cm2 per pulse increments up to 50 mj/cm2. Exposure dose values required to print 0.4.tm line and space pairs were determined for each material over the range ofthicknesses and are shown in Table 4. As expected, the 90:10 SI:CMS material coated beyond 2000 A is not capable ofimaging to the resist/substrate interface without complete crosslinking at the resist surface. SEM results from exposure trials are shown in Figure 6. Swelling effects from the ethanol/methanol development and rinse are evident as film thickness increases, which is significant with the higher mole ratio SI:CMS materials. 3.4. Reactive ion etching results The high silicon content of P(SI-CMS) has been shown to provide substantial 02 plasma etch resistance for anisotropic pattern transfer in RIE. For the materials under investigation, pressure and 02 flow rates were chosen to maximize etch selectivity between the P(SI-CMS) copolymer and hard-baked novolac resist. Optimal etch conditions were 10 W power, 8 mtorr pressure, and 25 sccm 02. Within the range of materials evaluated, silicon content is high enough to produce an effective etch stop at oxygen pressures which readily remove novolac. Silicon content, etch rates in 02 and selectivity values over Shipley 812 are shown in Table 5 for each material. Figure 7 shows the results obtained from 02 transfer of 2000 A P(SI09-CMS002) images as an etch mask over 6000 A Shipley 812. Vertical 0.35.tm line and space features have been transferred through to the wafer surface, indicating a high degree of anisotropy. 4. CONCLUSIONS The random copolymer oftrimethylsilylmethyl methacrylate and chioromethyl styrene has been shown to be a potential photoresist candidate for 193 urn exposure. Through control of mole ratios of SI and CMS monomers, optical properties ofthe copolymer have been tailored to maximize material performance. Resist sensitivities on the order of 4-20 mj/cm2 and high 02 resistance have been achieved in films from 2000 A to 5000 A thick. Resolution to 0.4.tm has been demonstrated in P(S1095-CMS005) and P(S1098-CMS002) films with thicknesses up to 5000 A. Through 02 pattern transfer into a hard baked novolac, resolution to 0.35 pm has been shown. Film loss at CMS concentrations less than 5 mole % may be attributed to insufficient distribution of crosslinking sites within the copolymer. Through use of higher molecular weight materials, improvements in crosslinking performance may be expected. 5. REFERENCES 2 6 E. Reichmanis, G. Smolinsky, J. Electrochem. Soc., 132, 5, 1178 (1985). A.E. Novembre, E. Reichmanis, M. Davis, SPffi 631, 14 (1986). B.W. Smith, A.E. Novembre, D.A. Mixon, Proc. 10th Intl. Corif. on Photopoly., (1994) D.A. Mixon, A.E. Novembre, J. Vac. Sci. Technol. B 7, 6, 1723 (1989). A. Reiser, Photoreactive Polymers, (Wiley, 1989). A.E. Novembre, M.J. Jurek, A. Kornblit, E. Reichmanis, Polymer Eng. Sci., 29, 14, 920 (1989). SPIE Vol. 2438 / 507

CH CH -(---CH C -(---CH C ) ( CH 2 I 2 I 2 m CH2 CH Si CH SI CH2 CH Si CH 3 I CH CH 3 3 CMS CH Figure 1. Structure of repeat unit in P(SI) (left) and P(SI-CMS) (right). 0.8 0.7 0.6 ON 0)0)0)0)0)00000 (0(00 N (0(00 N (0(00 N N N 0 I I I I I I I I I I I N N N N N N N N N N N N N Wavelength (nm) Figure 2. Absorption spectrum of poly(trimethylsilylmethyl methacrylate). Targeted Composition wt.% Cl Composition SI:CMS M. g/mol Polydispersity Index X P(SI-CMS010) 2.17 89.6:10.4 39 800 1.42 128 P(S1095-CMS005) 0.97 95.3:4.7 38 300 1.56 123 P(SI-CMS002) 0.45 97.8:2.2 40 900 1.83 131 Table 1. Properties of P(SI-CMS) formulations. 508 / SPIE Vol. 2438

2.8 2.6 2.4 2.2 2 0. 14 1.2 < I 0.8 0.6 0.4 0.2 0)0)0)0)0)000000 (N (0000 (N (0000 (N (0000 (N (N (N (N (N (N (N (N (N (N (N (N (N (N (N (N (N Wavelength (nm) Figure 3. UV absorption spectraforp(si-cms) formulations. Copolymer Absorbance/pm Optimum thickness P(S1090-CMS010) 1.81 2400A P(S1095-CMS005) 1.16 3700A P(SJ-CMS002) 0.79 5500A Table 2. Absorption properties of P(SI-CMS) formulations. 1.2 1.1 U) 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 0 0 0 0 0 0 0 0 0 Log Exp (mjlcm2) - -P(SI-CMS)-21 P(SI-CMS) -23 P(Sl-CMS)-25 (N (W) U) (0 I 00 0) (N ('V) Figure 4. Characteristic 193 urn exposure response curves for P(SI-CMS) formulations. SPIE Vol. 2438 / 509

Copolymer Dg' D 5 (mj/cm2) (mj/cm2) Film loss (%) Contrast (y) Quantum Yield P(S1090-CMS010) 1.5 3.0 2.7% 1.8 0.56 P(S1095-CMS005) 2.0 3.4 3.2% 2.0 0.38 P(SJ-CMS002) 3.7 6.0 20% 2.2 0.21 Table 3. 193 nm exposure response properties of P(SI-CMS) formulations 110 100 90 80 ('1 E 70 U E 60 50 4o w 30 20 10 0 2000 4000 6000 8000 Film thickness (A) 10000 Figure 5. Estimated Dg 5 values for P(SI-CMS) formulations coated from 2000 A to 10000 A. Copolymer 2000 A Exposure dose required 3000 A 4000 A 5000 A P(S1090-CMS010) 4 mj/cm2 - - - P(S1095-CMS005) 8 10 12 14 P(SL-CMS002) 13 16 17 19 Table 4. Required exposure dose values for P(SI-CMS) formulations for 0.4 jim line and space pairs. 510/SPIE Vol. 2438

P(S1090-CMS010) Figure 6. SEM micrographs of 0.4 jim line and space pairs in P(SI-CMS). Coating thicknesses arefromtop2000a, 3000 A, 4000 A, and 5000 A P(S1093 CMS002) P(S1095-CMS005) SP!E Vol. 24381511

Copolymer wt. % silicon Etch rate (A/mm) Selectivity NovolacfP(SI:CMS) P(S1090-CMS010) 14.6 98 12 P(S1095-CMS005) 15.5 35 34 P(S1093-CMS002) 16.0 35 34 Table 5. Summaryofetching characteristics of P(SI-CMS) formulations. Etch conditions: 100 Watt, 25 sccm 02, 8 mtorr. Figure 7. 02 RIE pattern transfer of 0.35 jim line and space pairs in P(SI-CMS02) through 6000 A Shipley 812. 512/SPIE Vol. 2438