VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Similar documents
Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Lecture 0: Introduction

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

Lecture 1A: Manufacturing& Layout

Fabrication and Layout

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

CMOS FABRICATION. n WELL PROCESS

Chapter 2 MOS Fabrication Technology

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Technology. By: Ajay Kumar Gautam

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Chapter 3 CMOS processing technology

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Chapter 2 Manufacturing Process

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part 2

Department of Electrical Engineering. Jungli, Taiwan

Microfabrication of Integrated Circuits

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Complexity of IC Metallization. Early 21 st Century IC Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

This Appendix discusses the main IC fabrication processes.

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

9/4/2008 GMU, ECE 680 Physical VLSI Design

FABRICATION of MOSFETs

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Manufacturing Process

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

EE 434 Lecture 9. IC Fabrication Technology

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

EECS130 Integrated Circuit Devices

Fabrication and Layout

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

Silicon Wafer Processing PAKAGING AND TEST

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Fabrication Technology

VLSI Digital Systems Design

The Physical Structure (NMOS)

Lecture #18 Fabrication OUTLINE

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

CMOS Processing Technology

Integrated Circuits & Systems

CMOS Manufacturing process. Design rule set

Czochralski Crystal Growth

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

Manufacturing Process

Fairchild Semiconductor Application Note June 1983 Revised March 2003

CMOS Processing Technology

TOWARD MEMS!Instructor: Riadh W. Y. Habash

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Design and Simulation

MOS Front-End. Field effect transistor

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 04, 2012 Lecture 01

Process Flow in Cross Sections

Manufacturing Process

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

Lecture 22: Integrated circuit fabrication

CMOS Manufacturing Process

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 02, 2014 Lecture 01

Chapter 3 Silicon Device Fabrication Technology

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Radiation Tolerant Isolation Technology

Isolation Technology. Dr. Lynn Fuller

Theory and Technology of Semiconductor Fabrication

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Historical Development. Babbage s second computer. Before the digital age

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Lecture 2. Fabrication and Layout

Microelectronics Devices

ASIC Physical Design CMOS Processes

Lect. 2: Basics of Si Technology

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

INTEGRATED-CIRCUIT TECHNOLOGY

VLSI Systems and Computer Architecture Lab

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

ECE321 Electronics I

Problem 1 Lab Questions ( 20 points total)

Schematic creation of MOS field effect transistor.

Transcription:

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR)

contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical Properties of MOS and BiCMOS Circuits: Ids-Vds relationships, MOS transistor threshold Voltage, gm, gds, figure of merit ωo ; Pass transistor, NMOS Inverter, Various pull ups, CMOS Inverter analysis and design, Bi-CMOS Inverters. 2

The First Computer The Babbage Difference Engine (1832) 25,000 parts cost: 17,470 3

ENIAC - The first electronic computer (1946) 4

WHY VLSI? Integration Improves the Design Lower parasitics, higher clocking speed Lower power Physically small Integration Reduces Manufacturing Costs (almost) no manual assembly About $1-5billion/fab Typical Fab 1 city block, a few hundred people Packaging is largest cost Testing is second largest cost 5

Design Levels SYSTEM + MODULE GATE CIRCUIT S n+ G D n+ DEVICE 6

Moore s Law Gordon Moore cofounder of Intel Corporation visualized in the 1970 s that chip building technology would improve very quickly He projected that the number of transistors on a chip would double about every 18 months 7

INTEGRADED CIRCUIT (IC): Multi terminal electronic device in which discrete components like transistors,resisters,capacitors are fabricated in a single construction process. Classification of ICs : Based on application -Analog, digital Based on complexity -SSI, MSI, LSI, VLSI Based on fabrication-monolithic, hybrid Based on technology -RTL,DTL, TTL, MOS. 8

IC Evolution : SSI Small Scale Integration (early 1970s)-contained 1 10 logic gates MSI Medium Scale Integration-logic functions, counters LSI Large Scale Integration-first microprocessors on the chip VLSI Very Large Scale Integration-now offers 64-bit microprocessors, complete with cache memory (L1 and often L2),floating-point arithmetic unit(s), etc. Bipolar technology TTL (transistor-transistor logic) ECL (emitter-coupled logic) 9

Metal-oxide-semiconductor (MOS) and related VLSI technology MOS (Metal-oxide-silicon) although invented before bipolar transistor, was initially difficult to manufacture nmos (n-channel MOS) technology developed in 1970s required fewer masking steps, was denser, and consumed less power than equivalent bipolar Ics. CMOS (Complementary MOS): n-channel and p-channel MOS transistors => lower power consumption, simplified fabrication process Bi-CMOS - hybrid Bipolar, CMOS (for high speed) GaAs - Gallium Arsenide (for high speed) Si-Ge - Silicon Germanium (for RF) 10

Mos transistors Basic MOS transistors with the doping concentration of transistor two types of MOS transistors are available as NMOS transistor and PMOS transistor. With their mode of operation further they are classified as depletion mode transistor and enhancement mode transistor. nmos enhancement mode transistor nmos devices are formed in a p-type substrate of moderate doping level. The source and drain regions are formed by diffusing n-type impurities through suitable masks into these areas. Thus source and drain are isolated from one another by two diodes and their Connections are made by a deposited metal layer. The basic block diagrams of nmos enhancement mode transistor is shown in figure. 11

nmos enhancement mode transistor nmos depletion mode transistor The basic block diagram of nmos depletion mode transistor is shown in figure. In depletion mode transistor the channel is established even the voltage Vgs = 0 by implanting suitable impurities in the region between source and drain during manufacture and prior to depositing the insulation and the gate. 12

MOS Transistors Four terminal device: gate, source, drain, body Polysilicon SiO 2 Source Gate Drain Gate oxide body stack looks like a capacitor p+ p+ n bulk Si Gate and body are conductors (body is also called the substrate) SiO2 (oxide) is a good insulator (separates the gate from the body Source Gate Drain Polysilicon SiO 2 Called metal oxide semiconductor (MOS) capacitor, even though gate is mostly made of poly-crystalline silicon (polysilicon) n+ p n+ bulk Si NMOS PMOS 13

Transistors as Switches We can view MOS transistors as electrically controlled switches Voltage at gate controls path from source to drain g = 0 g = 1 nmos g d s d s OFF d s ON pmos g d s d s ON d s OFF 14

CMOS Inverter A Y 0 1 1 0 V DD ON A=0 Y=1 A Y OFF GND 15

CMOS NAND Gate A B Y 0 0 0 1 Y 1 0 1 1 A B 16

CMOS NAND Gate A B Y 0 0 1 0 1 1 0 A=0 ON ON Y=1 OFF 1 1 B=0 OFF 17

CMOS NAND Gate A B Y 0 0 1 0 1 1 1 0 A=0 OFF ON Y=1 OFF 1 1 B=1 ON 18

CMOS NAND Gate A B Y 0 0 1 0 1 1 1 0 1 A=1 ON OFF Y=1 ON 1 1 B=0 OFF 19

CMOS NAND Gate A B Y 0 0 1 0 1 1 1 0 1 A=1 OFF OFF Y=0 ON 1 1 0 B=1 ON 20

CMOS NOR Gate A B Y 0 0 1 0 1 0 1 0 0 A B 1 1 0 Y 21

nmos FABRICATION 22

23

Photolithographic Process oxidation optical mask photoresist removal (ashing) process step photoresist coating photoresist development stepper exposure 24 spin, rinse, dry acid etch

25

Complementary MOS fabrication CMOS Technology depends on using both N-Type and P-Type devices on the same chip.the two main technologies to do this task are: P-Well :The substrate is N-Type. The N-Channel device is built into a P-Type well within the parent N-Type substrate. The P-channel device is built directly on the substrate. N-Well:The substrate is P-Type. The N-channel device is built directly on the substrate, while the P-channel device is built into a N-type well within the parent P-Type substrate. Two more advanced technologies to do this task are: Twin Tub:Both an N-Well and a P-Well are manufactured on a lightly doped N-type substrate. Silicon-on-Insulator (SOI) CMOS Process:SOI allows the creation of independent, completely isolated nmos and pmos transistors virtually side-by-side on an insulating substrate. 26

What is CMOS? CMOS 27

28

29

30

31

32

Start with blank wafer First step will be to form the n-well Cover wafer with protective layer of SiO2 (oxide) Remove layer where n-well should be built Implant or diffuse n dopants into exposed wafer Strip off SiO2 33

34

35

Fabrication Steps Start with blank wafer Build inverter from the bottom up First step will be to form the n-well Cover wafer with protective layer of SiO2 (oxide) Remove layer where n-well should be built Implant or diffuse n dopants into exposed wafer Strip off SiO2 p substrate 36

Oxidation Grow SiO2 on top of Si wafer 900 1200 C with H2O or O2 in oxidation furnace SiO 2 p substrate 37

Photoresist Spin on photoresist Photoresist is a light-sensitive organic polymer Softens where exposed to light Photoresist SiO 2 p substrate 38

Lithography Expose photoresist through n-well mask Strip off exposed photoresist Photoresist SiO 2 p substrate 39

Etch Etch oxide with hydrofluoric acid (HF) Seeps through skin and eats bone; nasty stuff!!! Only attacks oxide where resist has been exposed Photoresist SiO 2 p substrate 40

Strip Photoresist Strip off remaining photoresist Use mixture of acids called piranah etch Necessary so resist doesn t melt in next step SiO 2 p substrate 41

n-well n-well is formed with diffusion or ion implantation Diffusion Place wafer in furnace with arsenic gas Heat until As atoms diffuse into exposed Si Ion Implanatation Blast wafer with beam of As ions Ions blocked by SiO2, only enter exposed Si SiO 2 n well 42

Strip Oxide Strip off the remaining oxide using HF Back to bare wafer with n-well Subsequent steps involve similar series of steps p substrate n well 43

Polysilicon Deposit very thin layer of gate oxide < 20 Å (6-7 atomic layers) Chemical Vapor Deposition (CVD) of silicon layer Place wafer in furnace with Silane gas (SiH4) Forms many small crystals called polysilicon Heavily doped to be good conductor Polysilicon Thin gate oxide p substrate n well 44

Polysilicon Patterning Use same lithography process to pattern polysilicon Polysilicon Polysilicon Thin gate oxide p substrate n well 45

Self-Aligned Process Use oxide and masking to expose where n+ dopants should be diffused or implanted N-diffusion forms nmos source, drain, and n-well contact p substrate n well 46

N-diffusion Pattern oxide and form n+ regions Self-aligned process where gate blocks diffusion Polysilicon is better than metal for self-aligned gates because it doesn t melt during later processing n+ Diffusion p substrate n well 47

N-diffusion Historically dopants were diffused Usually ion implantation today But regions are still called diffusion n+ n+ n+ p substrate n well 48

N-diffusion Strip off oxide to complete patterning step n+ n+ n+ p substrate n well 49

P-Diffusion Similar set of steps form p+ diffusion regions for pmos source and drain and substrate contact p+ Diffusion p+ n+ n+ p+ p+ n+ p substrate n well 50

Contacts Now we need to wire together the devices Cover chip with thick field oxide Etch oxide where contact cuts are needed Contact p+ n+ n+ p+ p+ n+ Thick field oxide p substrate n well 51

Metallization Sputter on aluminum over whole wafer Pattern to remove excess metal, leaving wires Metal p+ n+ n+ p+ p+ n+ Metal Thick field oxide p substrate n well 52

Twin-Tub (Twin-Well) CMOS Process This technology provides the basis for separate optimization of the nmos and pmos transistors, thus making it possible for threshold voltage, body effect and the channel transconductance of both types of transistors to be tuned independently. Generally, the starting material is a n+ or p+ substrate, with a lightly doped epitaxial layer on top. This epitaxial layer provides the actual substrate on which the n-well and the p-well are formed. Since two independent doping steps are performed for the creation of the well regions, the dopant concentrations can be carefully optimized to produce the desired device characteristics. The Twin-Tub process is shown below. In the conventional p & n-well CMOS process, the doping density of the well region is typically about one order of magnitude higher than the substrate, which, among other effects, results in unbalanced drain parasitics. The twin-tub process avoids this problem. 53

Advanced CMOS Technologies For inexpensive and low-performance chips, one may use a heavily doped substrate and omit one well. The substrate should be doped to about 10 16 /cm 3, with a resistivity of about 1 Ω-cm. This allows simpler construction, with good Ground Potential distribution, but the devices are not optimal and there is a chance of latch-up if the voltages are pushed hard. For high-performance chips, one uses a low doped substrate, 10 15 /cm 3, 10 Ω-cm, and then constructs Two Wells at optimum doping levels (called Tubs in the diagram). Since the substrate is lightly doped, there is less chance for latch-up because of the high resistivity. Substrate for Twin-Well MOS Technogy

Self-aligned Twin Well 55 Wafer clean Grow pad oxide Deposit nitride (a) N-well mask Etch nitride Strip photoresist N-well implantation (b) Anneal/drive-in and oxidation (c) Strip nitride P-well implantation (d) Anneal and drive Strip pad oxide (e) (a) (b) (c) (d) (e) Silicon Phosphorus Ions Silicon Silicon Dioxide N-well Silicon Silicon Dioxide N-well Silicon N-well Silicon Nitride Nitride Nitride Boron Ion Implantation P-well

Self-aligned Twin Well Advantage: reduce a photo mask step Reduce cost Improve IC chip yield. Disadvantage: wafer surface is not flat n-well always has lower level than p-well Affect photolithography resolution Affect thin film deposition N-well implant first Phosphorus diffuses slower than boron in single silicon If p-well implant first, boron in p-well could diffuse out of control during n-well anneal and drive-in 56

Twin Well Two mask steps Flat surface Common used in advanced CMOS IC chip High energy, low current implanters Furnaces annealing and driving-in Phosphorus Ions Boron Ions Photoresist P-Epi N-Well P-Well Photoresist N-Well P-Wafer P-Epi P-Wafer 57

Silicon-on-Insulator (SOI) CMOS Process Rather than using silicon as the substrate material, technologists have sought to use an insulating substrate to improve process characteristics such as speed and latch-up susceptibility. The SOI CMOS technology allows the creation of independent, completely isolated nmos and pmos transistors virtually side-by-side on an insulating substrate. The main advantages of this technology are the higher integration density (because of the absence of well regions), complete avoidance of the latch-up problem, and lower parasitic capacitances compared to the conventional p & n-well or twin-tub CMOS processes. A cross-section of nmos and pmos devices using SOI process is shown below. The SOI CMOS process is considerably more costly than the standard p & n-well CMOS process. Yet the improvements of device performance and the absence of latch-up problems can justify its use, especially for deep-sub-micron devices. 58

Thank you