Integrated Processes. Lecture Outline

Similar documents
Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Fabrication Technology, Part II

EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16

Lecture 3: Integrated Processes

Poly-SiGe MEMS actuators for adaptive optics

Dr. Lynn Fuller Webpage:

Interconnect Issues for Integrated MEMS Technology

Chapter 3 Silicon Device Fabrication Technology

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

EE 434 Lecture 9. IC Fabrication Technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Lecture 10: MultiUser MEMS Process (MUMPS)

Czochralski Crystal Growth

Regents of the University of California

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

4. Process Integration: Case Studies

EE 330 Lecture 9. IC Fabrication Technology Part 2

EECS130 Integrated Circuit Devices

Surface micromachining and Process flow part 1

IC/MEMS Fabrication - Outline. Fabrication

Surface Micromachining

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee

Surface Micromachining

Chapter 2 OVERVIEW OF MEMS

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

EE C245 ME C218 Introduction to MEMS Design

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Today s Class. Materials for MEMS

Review of CMOS Processing Technology

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Surface Micromachining and Inertial Sensors

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Lect. 2: Basics of Si Technology

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Mikrosensorer. Microfabrication 1

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Surface Micromachining II

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

2242 ieee transactions on ultrasonics, ferroelectrics, and frequency control, vol. 52, no. 12, december 2005

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture #18 Fabrication OUTLINE

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Isolation Technology. Dr. Lynn Fuller

9/4/2008 GMU, ECE 680 Physical VLSI Design

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

Chapter 3 CMOS processing technology

Chapter 2 Manufacturing Process

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

CMOS Manufacturing process. Design rule set

Chemical Vapor Deposition

CMOS-MEMS integration

MEMS II: January 23. Lab 1: Pop-up mirror - PolyMUMPS - Thermal actuators - Mirror CoventorWare

4/10/2012. Introduction to Microfabrication. Fabrication

200mm Next Generation MEMS Technology update. Florent Ducrot

ASIM-X MEMS-Specific Design Rules

Isolation of elements

MEMS and Nanotechnology Research at TST

ECE321 Electronics I

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Regents of the University of California

Manufacturing Technologies for MEMS and SMART SENSORS

An SOI Process for Fabrication. of Solar Cells, Transistors and Electrostatic Actuators. Berkeley Sensor and Actuator Center

Wafer-to-Wafer Bonding and Packaging

CMOS Processing Technology

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

Chapter 5 Thermal Processes

Doping and Oxidation

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Wafer Scale Encapsulation of Wide Gaps using oxidation of Sacrificial Beams

Regents of the University of California 1

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

CMOS Processing Technology

Introduction to Microeletromechanical Systems (MEMS) Lecture 5 Topics. JDS Uniphase MUMPs

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

MEMS and Nanotechnology

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Lecture 22: Integrated circuit fabrication

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Manufacturing Process

EE C247B ME C218 Introduction to MEMS Design Spring 2014

Bulk Silicon Micromachining

EE C247B ME C218 Introduction to MEMS Design Spring 2015

Mostafa Soliman, Ph.D. May 5 th 2014

Transcription:

Integrated Processes Thara Srinivasan Lecture 14 Picture credit: Lemkin et al. Lecture Outline From reader Bustillo, J. et al., Surface micromachining of MEMS, pp. 1556-9. A.E. Franke et al., Polycrystalline silicon germanium films for integrated microsystems, 160-71. T. J. Brosnihan et al., A Fabrication Process for MEMS Optical Switches with Integrated On-Chip Electronics, pp. 1638-42. C. Bellew, et al., An SOI Process for Fabrication of pp. 1075-9. Today s Lecture Hurdles and benefits of process integration Modular processes CMOS before MEMS MEMS before CMOS Interleaved processes MEMS by foundry CMOS 2

Types of Integration MEMS CMOS MEMS CMOS Module Motorola Intel MEMS CMOS MEMS CMOS MEMS Berkeley CMOS Analog Devices 3 Integrated Monolithic MEMS Motivation for co-fabrication Improved device performance, higher signal-to-noise ratio Reduced size, power requirement IC compatibility = economical manufacturing Automatic alignment; packaging combined Common features Process Flow Vertical Dimension Lateral Dimension Complexity CMOS Surface Micromachining Si-based, same materials and etching principles Standard Application specific ~1 µm ~1-5 µm <1 µm 2-10 µm >10 masks 2-6 masks Yun 4

Thermal Budget Critical temperatures for Al metallization Degradation at T > 400-450 C Junction migration at T = 950 C Junction spiking Critical process temperatures for MEMS LPCVD Annealing Temperature 450 C 610 650 800 950 1050 Material LTO/PSG Low stress polysi Doped polysi Nitride PSG densification PolySi stress annealing W. Yun, PhD Thesis, BSAC 5 Benefits and Hurdles Benefits to integration Lower parasitic capacitance and parasitic resistance, greater sensitivity Increased reliability, reduced size and package complexity Challenges to integration MEMS layer deposition and anneal temperatures Passivation of CMOS during MEMS etching and release steps Surface topography of MEMS Materials incompatibilities Yield losses multiplied Special purpose electronics may be needed 6

This Lecture Modular processes CMOS before MEMS UC Berkeley Modular Integration UCB polysilicon germanium SOI MEMS, UCB and Analog Devices MEMS before CMOS Sandia Labs MM/CMOS Interleaved CMOS and MEMS Analog Devices BiMEMS Bosch epipoly MEMS by CMOS foundry Parameswaran et al., University of Alberta, 1988 Fedder et al., Carnegie Mellon, 1996 7 Modular Processes CMOS before MEMS + IC foundry can be used + Chip area may be minimized Thermal budget is an issue MEMS before CMOS + No thermal budget for MEMS Microstructure topography is an issue Electronics and MEMS cannot be easily stacked IC foundries are wary of pre-processed wafers (materials constraints) 8

CMOS MEMS 1 UCB Process Refractory metallization (e.g. tungsten), makes possible hightemperature post-processing Double-poly, single-metal CMOS, passivated with PSG Low-stress nitride for protection from release etch MEMS-CMOS interconnect: MEMS ground plane doped poly to CMOS gate poly Yun et al. 9 CMOS MEMS 1 Issues UCB Process Tungsten, W, reacts with Si at 600 C to form WSi 2 diffusion barrier is needed; e.g. TiN/TiSi 2 Problems W forms hillocks during annealing, relatively high contact resistance Mainstream CMOS processes are optimized for Al (now Cu) Heavily doped MEMS layers can affect CMOS 10

CMOS MEMS 2 Polysilicon Germanium Poly-Si 1-x Ge x Low temperature, Low resistivity with doping n-type poly-ge structural; SiO 2 sacrificial p-type poly-si 0.35 Ge 0.65 structural; poly-ge sacrificial Deposition LPCVD thermal decomposition of GeH 4 and SiH 4 or Si 2 H 6 Rate >50 Å/min, T < 475 C, P = 300-600 mt At higher [Ge]: rate, T In-situ doping, ion implantation equiaxed columnar poly-ge silicon dioxide poly-sige poly-ge A. Franke PhD, J. Heck PhD, Howe and King groups 11 CMOS MEMS 2 Polysilicon Germanium Dry etching Similar to poly-si; F, Cl, and Brcontaining plasmas Rate ~ 0.4 µm/min Wet etching H 2 O 2, 90 C: 4 orders of magnitude selectivity between >80% and <60% Ge content. Good release etchant J. Heck PhD thesis, Howe and King groups 12

CMOS MEMS 2 Poly-SiGe Mechanical Properties Conformal deposition Low stress as-deposited Films have high roughness Young s modulus ~146 GPa (poly-si 0.35 Ge 0.65 ) Fracture strain 1.7% (compared to 1.5% for MUMPS polysi) Q = 30,000 for n-type poly-ge in vacuum Poly-SiGe mechanically on par with poly-si -110 - -530 +500 - +670 Krulevitch: poly-si +86 Franke: 100% Ge +45 Franke: 79% Ge +18 Franke: 58% Ge -65 Franke: 41% Ge -59 Franke: 26% Ge -800-600 -400-200 0 200 400 600 800 Compressive Stress (MPa) Tensile Franke 13 CMOS MEMS 2 UCB Poly-SiGe Process 3 µm standard CMOS process, Al metallization p-type poly-si 0.35 Ge 0.65 structural; poly-ge sacrificial MEMS-CMOS interconnect through p-type poly-si strap Process: CMOS passivated with LTO, 400 C Vias to connection strap opened Ground plane deposited, MEMS built. RTA anneal to lower resistivity (550 C, 30s) A. Franke PhD 14

CMOS MEMS 3 To increase resolution of inertial sensor Reduce spring stiffness Increase proof mass Integrated SOI MEMS Silicon-on-insulator integrated process MEMS 25 thicker than with poly surface micromachining SCS MEMS: zero stress and stress gradient Integration of high sensitivity structures with circuitry gives 5-25 better resolution F = ma F = kx m x = a k Si (100) layer SiO 2 Si wafer Silicon-on-Insulator wafer M. Lemkin, PhD, BSAC Inetgrated Micro Instruments 15 CMOS MEMS 3 SOI MEMS Process Trench (2 µm wide) encloses future MEMS region Insulating silicon nitride fills trench Silicon nitride etched back from wafer surface Standard CMOS made and interconnected to future MEMS by metal or doped poly MEMS structures defined using deep etch Buried oxide etched to release MEMS Lemkin et al. 16

CMOS MEMS 3 SOI MEMS Brosnihan et al. 17 CMOS MEMS 3 SOI Accelerometer Parameters Chip size 3.4 2.9 mm² Sensor size 1 1.5 mm² Proof mass 52 µg Resonant frequency 3 khz Sense capacitance 9.7 pf Full scale ±1.75 g Power consumption 5 V x 5 ma Sensitivity 102 ff / g Noise floor 25 µg / Hz On-chip A/D conversion Lemkin et al. 18

MEMS CMOS Sandia Embedded Process 1. Trench etched into Si using KOH 2. MEMS fabricated in trench 3. Trench filled with LPCVD oxide 4. Trench planarized with CMP 5. MEMS stress anneal 6. Trench seal with LPCVD nitride 7. Standard CMOS fabrication next to MEMS 8. CMOS passivated with PECVD nitride 9. Trench opened, MEMS released 19 MEMS CMOS Sandia Embedded Process Surface micromachined lateral resonators in trench next to CMOS circuitry 3-level process 12 µm deep trench 20

MEMS CMOS Sandia Embedded Process 3 axis accelerometer 4 4 mm 2 chip 3 proof masses to capacitively measure acceleration X, Y: comb finger array Z: parallel-plate capacitor Each sensor has own interface circuitry Differential circuitry allows sensing of fractions of attofarad changes in capacitance Measures up to ±25 g M. Lemkin et al. BSAC and Sandia Labs 21 Interleaved and Foundry Processes CMOS and MEMS mixed + More control over materials, processes ± Optimize or compromise mechanical and electrical components Need your own fab Foundry processes + Economical, reliability and yield high + Simple post processing step releases MEMS Cost of increased chip area Mechanical properties of CMOS layers compromised 22

Interleaved 1 Analog Devices BiMEMS Process ADXL50 accelerometer Interleaved MEMS and 4 µm BiMOS fabrication MEMS-CMOS interconnect by diffused n+ runners Relatively deep junctions allow for MEMS poly stress anneal Acceleration to volt transducer Measurement of ±50 g accelerations ADXL50 Analog Devices 23 Interleaved 1 ADXL150 Specifications ADXL150 SOI MEMS Chip size 3 3 mm² 3.4 2.9 mm² Sensor size 0.6 0.7 mm² 1 1.5 mm² Proof mass 0.28 µg 52 µg Resonant frequency 12 khz 3 khz Open-loop displacement 1.7 nm/g Sense capacitance 120 ff 9.7 pf Full scale ±5 g ±1.75 g Shock survival 1000 g Power consumption 5 V x 8 ma 5 V x 5 ma Sensitivity 200 mv/g 102 ff/g Noise floor 0.6 mg/ Hz 25 µg/ Hz 24

Interleaved 1 ADXL202 ±2 g accelerations X and Y variable capacitors on sides of same larger proof mass Spring suspension minimizes cross-axis sensitivity Digital output (vs. ADXL50) Analog Devices 25 Interleaved 2 Bosch Epi-Poly Process 1 Buried layer defined Sacrificial oxide deposited, removed in circuit area and at MEMS anchor points Epitaxial deposition, 10µm Monocrystalline above circuit area Polycrystalline above oxide At anchor points, SCS pyramids formed with (111) walls embedded in epipoly Surface is planar Offenberg et al. Bosch 26

Interleaved 2 Bosch Epi-Poly Process 2 Gate poly patterned Doping of gate and MEMS poly Dopant drive-in by annealing Standard BiCMOS process resumed, ending with metallization and passivation Trench etch epipoly structures Release using sacrificial oxide etch with HF vapor phase Offenberg et al. Bosch 27 Interleaved 2 Bosch Results As-deposited epipoly has low stress (<4 MPa), negligible stress gradient, and rough surface. 10 µm thick epipoly gives stiffness in z-direction Range ±35g Sensitivity 20 mv/g Offenberg et al., Bosch 28

Foundry Integrated MEMS by Foundry CMOS Fabricate using foundry CMOS Unconventional layout designs Unaltered process sequence Single postprocessing step: isotropic or anisotropic etching + Only need to know CMOS design rules vs. processing details - Mechanical properties compromised History Univ. Alberta 1988 (KOH), Cornell 1992 SCREAM process, UCLA 1995 (XeF 2 ), ETH Zurich 1990s, CMU 1996 ASIMPS process (SF 6 ) Thermally isolated heater element Parameswaran et al. Univ. of Alberta 29 Foundry 1 Integrated MEMS by Foundry CMOS Process Laminated metal/insulator MEMS Made using HP 0.8µm, 3- metal CMOS process at MOSIS foundry Top metal layer used as etch mask for CHF 3 /O 2 oxide etch Final SF 6 isotropic etch releases structures Features Independent electrostatic actuation possible due to multiple insulated metal layers G. Fedder et al. 30

Foundry 1 Integrated MEMS by Foundry CMOS Accelerometer parameters Range ±13 g Out-of-plane curl 6 µm Displacement 3.1 nm / g Q 1200 Noise floor 1 mg/ Hz Courtesy Gary Fedder, Carnegie-Mellon University 31 Foundry 2 MEMSIC Accelerometer MEMSIC (Andover, Mass.) dual-axis thermal accelerometer Post-foundry CMOS dry bulk micromachining to form thermal isolation cavity Sensor consumes large fraction of total chip area cost of adding accelerometer function still high, even if postprocess steps are very simple and high-yield 32

Summary CMOS first State-of-the-art CMOS foundries can be used Thermal budget of metallization to be accounted for MEMS first No thermal budget to worry about Possible materials incompatibilites (high dopant structural layers, piezoelectrics) Topography to overcome Interleaved Potentially greater control over process steps First commercially proven integrated process Possibly compromises both CMOS and MEMS 33