Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM

Similar documents
``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT

Integrating Functional Safety with ARM. November, 2015 Lifeng Geng, Embedded Marketing Manager

Heterogeneous Compute in Automotive and IoT. May 31, June 1,

LS1021A. in Industrial Safety Systems

Automotive Safety and Security in a Verification Continuum Context

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping

Magillem. X-Spec. For embedded Software and Software-driven verification teams

Distributed Model Based Development for Car Electronics

EE-379 Embedded Systems and Applications Introduction

POWER. OpenPOWER Foundation Overview. February Steve Fields IBM Distinguished Engineer Director of Power Systems Design

TLM-Driven Design and Verification Time For a Methodology Shift

The Manycore Shift. Microsoft Parallel Computing Initiative Ushers Computing into the Next Era

Xilinx UltraScale MPSoC Architecture

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

Design SoC using FPGA-based IP An FGPA-Based ESL Methodology

HETEROGENEOUS SYSTEM ARCHITECTURE: FROM THE HPC USAGE PERSPECTIVE

Expanding the Reach of Formal. Oz Levia November 19, 2013

Register Management of a Complex Multi-Processor Based SoC Dave Murray, Brian Clinton, Zoltan Sugar - Duolog

RISC-V SoC Hierarchical Verification Block to Top Level. Jeremy Ralph - Verification Consultant November 13, 2018

Arm HPC Solution. A partner enabled ecosystem. Srinath Vadlamani 8th NCAR MultiCore Workshop (MC8), Boulder CO, Sept 18019, 2018.

Building smart products: best practices for multicore software development

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on?

Achieving ISO Compliance in Silicon (And Beyond?)

Mentor Safe IC ISO & IEC Functional Safety

Architectural Considerations for Validation of Run-Time Application Control Capabilities for Real-Time Systems

Deep Learning Acceleration with

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs

Corporate Overview for Investors

Address system-on-chip development challenges with enterprise verification management.

Software Performance Estimation in MPSoC Design

How I Learned to Stop Worrying and Love Benchmarking Functional Verification!

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application

Emerging Workload Performance Evaluation on Future Generation OpenPOWER Processors

GTC Using GPUs to Speedup Chip Verification. Tomer Ben-David, VP R&D

Simplifying Hadoop. Sponsored by. July >> Computing View Point

ASDEN: A Comprehensive Design Framework Vision for Automotive Electronic Control Systems

Multi-core Management A new Approach

Fast Innovation requires Fast IT

A Examcollection.Premium.Exam.35q

Cisco Unified Workforce Optimization for Cisco Unified Contact Center Express 9.0

IBM Power Systems. Bringing Choice and Differentiation to Linux Infrastructure

Good things come in small packages, We help good become better SEMICONDUCTORS HCL ENGINEERING AND R&D SERVICES

21 st CENTURY GLOBAL ENGINEERING GLOBAL SCALE VALUE HCL ERS SEMICONDUCTOR ENGINEERING SERVICES

Mike Strickland, Director, Data Center Solution Architect Intel Programmable Solutions Group July 2017

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

FUT SLES for POWER Trends and Roadmap. Jay Kruemcke Product

FPGA as a Service in the Cloud. Craig Davies Principal Hardware Architect

The Way Forward for IC Design. October 1, 2014

An Enterprise-Grade Architecture for Salesforce Native Applications

Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation

Simulink as Your Enterprise Simulation Platform

What s New with the PlantPAx Distributed Control System

Brochure. Application Lifecycle Management. Accelerate Your Business. Micro Focus Application Lifecycle Management Software

THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: 2006 UPDATE DESIGN

Huawei Technologies, Inc.

Mentor Graphics Higher Education Program

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

Accenture* Integrates a Platform Telemetry Solution for OpenStack*

Affordability by Design for Aerospace and Defense Systems

IBM HPC DIRECTIONS. Dr Don Grice. ECMWF Workshop October 31, IBM Corporation

Corporate Overview for Investors

MontaVista Carrier Grade Express

Overview. MP-SoC Trends and Challenges. ESL Design Solutions. Using the new TLM-2.0 Standard for the Creation of Virtual Platforms for ESL Design

Improve Your Productivity with Simplified Cluster Management. Copyright 2010 Platform Computing Corporation. All Rights Reserved.

Enabling Intelligence for Smart Building

Mobility in Consumer Electronics. Advancing the Business of Manufacturing

Model-based Design and Analysis of Cyber Physical Systems. Jalil Boudjadar, Simin Nadjm-Tehrani, Ingemar Söderquist

Development of AUTOSAR Software Components with Model-Based Design

STAND: New Tool for Performance Estimation of the Block Data Processing Algorithms in High-load Systems

Learning Inference. Performance Tools. Analysing Machine. with Arm. #ArmTechCon. Stephen Barton Product Manager Arm

Optimisation of the manufacturing value chain

Communication Technologies can be complex, We simplify them... TELECOM & NETWORKING HCL ENGINEERING AND R&D SERVICES

Jack Weast. Principal Engineer, Chief Systems Engineer. Automated Driving Group, Intel

Generic building blocks for PL in CNES based on CPUGEN / LVCUGEN / BASILES

Simulink as Your Enterprise Simulation Platform

2010 Green Hills Software, Inc Slide 1

ID 021C: Virtual Microcontroller & System Modeling A platform for all seasons

The Way Forward for Electronic Design

IoT Demands New Approach to MCU-based Embedded Designs Complex Designs Take Time

StarRC Custom Parasitic extraction for next-generation custom IC design

Test and Verification Solutions. Resistance is Futile: Learning to love UVM! Experts In Verification

Increasing computing performance of ADCS subsystems in small satellites for earth observation

Title: OpenView Configuration Mgmt Vision and Roadmap Session #: 574 Speaker: Alon Yaffe Company: HP

Accelerating a Smart and Interconnected World. Stefano Zammattio Product Marketing Manager April 2018

IBM xseries 430. Versatile, scalable workload management. Provides unmatched flexibility with an Intel architecture and open systems foundation

Managing Functional Verification Projects

ITER Fast Plant System Controller Prototype Based on PXI Platform M.Ruiz, J.Vega

Mont-Blanc work Past, present & future

X Infotech Banking. Software solutions for smart card issuance

Startups Accelerating Going to Production With Altium

DEPEI QIAN. HPC Development in China: A Brief Review and Prospect

Use Polarion ALM to Achieve Engineering Excellence. Polarion ALM Roadmap

Digital Design Methodology (Revisited)

ECE 699: Lecture 2. ZYNQ Design Flow

White Paper. IBM POWER8: Performance and Cost Advantages in Business Intelligence Systems. 89 Fifth Avenue, 7th Floor. New York, NY 10003

Autonomic Computing: Standards for Self-Managing Systems

Transcription:

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM 1

Key Trends A typical verification challenge... big.little heterogeneous multicore APPS APPS Increasing complexity Increasing SW content Multiple OSs Secure services Higher performance, lower power Decreasing cost and time to market Cortex-A15 MPCore CPU 1 L2 Cache Interrupt Control CPU 2 Cortex-A7 MPCore CPU 1 CPU 1 L2 Cache OS Cache Coherent Interconnect I/O & Peripheral Interfaces Hypervisor Mali GPU OS Base Periph. System Control On-chip Mem DDR Cntrl Security Are we building the thing right? Are we building the right thing? 2

Pillars of Verification Productivity Contributors to Productivity Improvement 3

What is ESL? Electronic System Level Behavior Algorithms Specification Platforms HW / SW The ESL focus at ARM is on high level modeling to facilitate virtual platforms 4

SoC Verification Landscape Complex designs need multiple verification & validation platforms Virtual Platforms allow early HW / SW co-development & analysis Virtual Platforms RTL (Sim / Accel) Emulation FPGA Evaluation Board Requirements Analysis System Validation System Design System Verification Design Integration Verification IP/Module Design IP / Module Verification Implementation 5

High Level Modeling at ARM ARM exploits high level modeling and virtual platforms to improve development productivity and reduce Time to Market. Models are provided early in product development lifecycles Models are utilized for: & device modeling Early software development Early HW / SW co-validation Device implementation compliance Device validation support Accelerating SoC Time to Market... High performance models suitable for SW community Available early for SW development Accurate to HW for consistency Easy to debug enabling improved productivity Easy to deploy to facilitate the developer community Models are used Within ARM Supplied to silicon partners Supplied to Ecosystem partners 6

Modeling The Envelope Model (AEM) is an executable version of the ARM Reference Manual ARM Reference Manual Ratify Integrity of Spec. Envelope Model (AEM) Coverage goals Validation Suite Catch architectural defects early Optimal abstraction level for debug; Fix bugs early at minimal cost/time Ensure consistency of spec, AEM, and validation suite 7

Early HW / SW co-validation Models are used as an early software development platform Virtual Platform Model (including memory & peripherals) ARM Device Model (eg Cortex-A15) Envelope Model (AEM) SW Development (OS porting, virtualization, power management,... ) Early validation and debug of HW / SW interaction Opportunity to fix architecture before committing to implementation Provides platform to support Ecosystem development 8

Device Implementation Compliance The AEM is used as a definitive architecture reference Envelope Model (AEM) AEM & Validation Suite configured to reflect implementation choices Validation Suite Device Implementation Compliance Evaluate architecture compliance of HW device implementations Protect validity & value of the ARM Ecosystem 9

Device Validation Support Models are used to develop SW-driven test scenarios Virtual Platform Model (including memory & peripherals) ARM Device Model (eg Cortex-A15) Envelope Model (AEM) Test Scenarios Develop test scenarios before executing on target Known-good test scenarios ready when validation platform available Separate concerns; Improved debug effectiveness & productivity 10

ARM Fast Models Comprehensive model portfolio of ARM CPUs, peripherals, and complex system IP Models available early, even for new ARM architectures and IP Programmers view abstraction level High simulation speed (up to ~ 200 MIPS) Suitable for software development Comprehensive debug support including ARM DS-5 All models validated and supported by ARM Export to a wide range of ESL environments (SystemC/TLM2.0) Enables ARM partners to create comprehensive Virtual Platforms through 3 rd party EDA solutions Models configurable to support a range of development tasks 11

ARM Fast Models Rich model portfolio (CPUs, periph, system IP) Configuration canvas Visualization OS console & status Extensive debug & trace Common Tool Chain ARM DS-5 Fast Model Development Platforms Final Product 12

ESL Future Challenges Model availability & Ease of Use To maximize added value, models need to be available early and with validated quality Need to empower new ESL users through the provision of comprehensive platform models Can formal techniques be applied more broadly to validate equivalence between ESL and implementation abstraction levels? Scalability Need to improve simulation performance for increasingly complex platforms multicore manycore complex system solutions Standardization Need to avoid proliferation of modeling abstraction levels Need standardization for the characterization and instrumentation of performance and power modeling 13

Conclusions ESL can be used effectively to complement implementation focused verification & validation platforms ESL is particularly compelling in the domain of systems and architectures, and offers unique value in the early phases of product development. ARM exploits high level modeling to improve productivity and reduce Time to Market, and as part of a holistic verification & validation strategy Within the verification context, models are used to Confirm integrity of architecture specifications Facilitate early HW / SW co-validation and debug Evaluate architecture compliance of device implementations Development and debug complex test scenarios for device validation ARM delivers and supports high level models through the ARM Fast Models product For more information. www.arm.com 14