Microbridge reduction in negative tone imaging at photoresist pointof-use

Similar documents
Solvent pre-wetting as an effective start-up method for point-of-use filter

Metal reduction at point-of-use filtration

Filtration on block copolymer solution used in directed self assembly lithography

Metal reduction at bulk chemical filtration

Improving material-specific dispense processes for low-defect coatings

Impact 8G Series Photochemical Filters

ZERO DEFECTS Entegris Newsletter

Pattern Dependent Satellite Defects in Via Lithography

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Improving Material-Specific Dispense Processes for Low Defect Coatings

Key Technologies for Next Generation EUV Lithography

CRITICAL MATERIALS HANDLING IMPACT POINT-OF-USE PHOTOCHEMICAL FILTERS

Hollow fiber membrane nano-technology: Applied to purifying the chemistries for state of the art semiconductor devices.

46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki Japan. Keywords: Ion exchange, Filter, Ultra Pure Water, Metallic ion, Amine,TDDB,Qbd

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry

Photolithography I ( Part 2 )

Lithography options for the 32nm half pitch node. imec

High-resolution defect metrology for silicon BARC analysis

Photoresist & Ancillary Chemicals Manufacturing

Photoresist and Process Issues on 193nm Immersion Lithography

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

EUV patterning improvement toward high-volume manufacturing

Overview. MICROgARd LIqUId FILTeRS

Overview MICROGARD LIQUID FILTERS

Hybrid BARC approaches for FEOL and BEOL integration

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Lessons Learned from SEMATECH s Nanoimprint Program

Towards cost-effective and low defectivity DSA flows for line/space patterning

Advanced developer-soluble gap-fill materials and applications

A novel 248-nm wet-developable BARC for trench applications

Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

A World Class Specialty Materials Company

P4000 Thick Film Photoresist

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Recent Innovations in ArF Sumiresist

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Introduction to Nanoscience and Nanotechnology

! Easy spin coat application. 1 Because Federal, State, Local and International laws may

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Major Fabrication Steps in MOS Process Flow

Post-CMP Cleaning: Interaction between Particles and Surfaces

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Chapter 3 Resist Leaching and Water Uptake

Intercept Liquid Filters

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Fabrication Technology, Part I

Introduction to Lithography

Bonding Pad Fabrication for Printed Electronics Using Silver Nanoparticles

New materials for surface energy control of 193 nm photoresists

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Quality in Electronic Production has a Name: Viscom. System Overview

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Surface Plasmon Resonance Analyzer

ASML - A strong company on a growth trajectory

M. Hasumi, J. Takenezawa, Y. Kanda, T. Nagao and T. Sameshima

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Development of New Extra-large Size Micro Filter Cartridges and Housings

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Understanding. Brewer Science

Photoresist-induced development behavior in DBARCs

Lecture #18 Fabrication OUTLINE

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

micro resist technology

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

DUV Products and Business Opportunity

Technical White Paper

Adsorptive and Mechanical Mechanisms of Fluid Purification Using Charge Modified Depth Filtration Media. Dr. Robert Conway, Ph.D.

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1

FIB mask repair technology for EUV mask 1. INTRODUCTION

POSISTRIP EKC830. Will effectively remove hard to remove positive photoresist

Benefits of Spunbond Substrate Uniformity in Advanced Filtration Media

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Development of 193-nm wet BARCs for implant applications

micro resist technology

Seminar Micro- and Nano-technology

Investor Overview November, 2008

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Notable Trends in CMP: Past, Present and Future

MicroVantage MAN Series

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Micro- and Nano-Technology... for Optics

AZ P4620 Photoresist Data Package

Choosing the Proper Filter

Transcription:

Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki, Japan 3000315; b Fujifilm Corporation, 4000 Kawashiri, Yoshida-cho, Haibara-gun, Shizuoka, Japan 4210396 ABSTRACT It is well known that point-of-use (POU) filtration is an effective means of reducing microbridging defects in lithographic processes involving photoresists.. To date, most of the optimization studies have been targeted toward understanding the microbridging defects in positive tone imaging (PTI) process. Considering that negative tone imaging (NTI) process has recently been introduced in advanced technology nodes, we focused our POU filtration studies on understanding the factors that modulate microbridging in NTI and PTI processes. Our studies pointed out that Nylon 6,6 membrane is distinctly more effective in reducing microbridging defects in NTI resists, whereas HDPE membranes show significant improvement in PTI resists. These results were rationalized based on the polarity differences of microbridges in PTI and NTI processes. Keywords: Microbridge, Bridge defect, negative tone imaging, photoresist, immersion lithography 1. INTRODUCTION The process condition of the point-of-use filtration in photoresist dispensing is known to correlate with excursion of microbridge defects which may trigger critical problems such as disconnection and short circuit. As shown in Figure 1, many studies have been conducted in each lithography generation, to optimize process condition on filtration, and these have generated deep understanding of the requirements for optimization. Figure 1 Existing microbridge study papers and current work positioning on lithography process generation timeline. *toru_umeda@ap.pall.com; phone 81.90.7837.4576; fax 81.29.889.1957; pall.com

In regard to removal mechanism, for sieving effect, fine rated filter is effective especially in non-polar filtration membranes[1-3]. In contrast, polar nylon 6,6 membrane filtration is known to perform greater removal efficiency over sieving based ratings[1,4-8] and adsorption is concluded as the mechanism based on the membrane chemical structure and the observation of contact time dependence in artificial gel challenge tests[7]. Initial CD shift is also studied and the results show that these are predictable and controllable[9,10]. From a different perspective, detailed pump actuation studies for optimized filtration are conducted. As a result, stable flow and low flow rate are found to be effective in microbridge reduction[11,12]. Further, microbridge is also found as a major defect contributor in organic EUV resists[13,14], indicating that filtration is critical also in the future processes. Above results are based on studies in positive tone imaging (PTI) process. However, as shown in Figure 2, as microbridge is caused by undissolved material in the developers, different polarity on the filter membrane may be desired in recently expanding negative tone imaging (NTI) process. NTI employs organic developer (non polar) in contrast to PTI, which employs alkaline developer (polar). In this study, we focus on the NTI filter optimization and are conducting evaluation for optimized resist point-of-use filtration in NTI process in comparison to PTI. Additionally, newly developed specially cleaned filtration products, which has been found to be effective in wet particle reduction[15] are evaluated for microbridge reduction. Bridge defect is an undissolved matter in developer, should exhibit different polarity between NTI (organic solvent) and PTI (alkaline). And different polarity of filter membrane may be required. Figure 2 Schematic of bridge defect in line and space resist pattern.

2.1 Photoresist preparation 2. EXPERIMENTAL Topcoat less 193 nm photoresists for NTI and for PTI are used for the testing. For the purpose of enhancing defectivity, simplified filtrations are employed in the resist preparation for both chemistries. 2.2 Test filters Table 1 and Table 2 show the filter samples tested at the point-of-use of photoresist coating. All the test filters are Pall PhotoKleen * EZD-2 (single filtration area) and EZD-2X (double filtration area) filter capsules. Membrane material such as Nylon 6,6 and High density polyethylene (HDPE), filtration area and enhanced cleaning (-XP option), which reduces organic extractables, are the test variables. Table 1 Test filters for NTI No. Membrane material Filter rating (nm) Filtration area (Single=710 cm 2, Double=1000~1300 cm 2 ) Enhanced cleaning (-XP) 1 Nylon 6,6 20 Single No 2 Nylon 6,6 20 Double No 3 HDPE 5 Double Yes 4 Nylon 6,6 20 Double Yes Table 2 Test filters for PTI No. Membrane material Filter rating (nm) Filtration area Enhanced cleaning (-XP) 1 Nylon 6,6 20 Double No 2 HDPE 5 Double Yes 3 Nylon 6,6 20 Double Yes 2.3 Lithographic conditions Using SOKUDO RF 3S* coater/developer, photoresist is spin-coated on an organic BARC layer on a 300 mm wafer. The filtration during the resist dispensing is conducted with a 0.5 ml/sec. constant flow driven by tube-phragm dispense pump. ASML TWINSCAN * XT1700i 193 nm immersion exposure tool connected with the SOKUDO RF 3S is used to resolve 45 nm half pitch line and space (L/S) pattern. Resist thickness is 85 nm. Above conditions are applied for both NTI and PTI. n-butylacetate is used for a developer in NTI and 2.38% tetramethylammoniumhydroxide (TMAH) aqueous solution is used for a developer in PTI.

2.4 Filter start-up Defectivity immediately after filter installation is known to show higher counts, then decreases with throughput of the resist. This is probably due to microbubbles, handling contamination and initial cleanliness of filter. In order to evaluate practical performance of the filter after filter start-up completion, 2000 ml of the initial resist throughput is discarded with repeating dummy dispensing, then a wafer is processed with the condition described in the previous section. The wafer processing is continuously conducted at dummy dispense throughputs of 3000 ml, 3050 ml, 3300 ml, 3500 ml and 3800 ml. Each 4 wafers per one processing are used to evaluate repeatability. 2.5 Defect classification Applied materials UVision * 5 and SEMVision * G4 are used for defect inspection. Observed defects in NTI are shown in Figure 3. Same defects are observed in PTI. Five defect modes such as 1. Bridge, 2. M-Bridge, 3. Protrusion, 4. Residue and 5. Stringer bridge are known to correlate with filtration and picked up for quantitative comparison. Figure 3 Defect classification Filtration related defects Bridge M-Bridge Protrusion Residue Stringer Line slimming Large-A Large-B Immersion Collapse Substrate Particle

3.1 Defect classification 3. RESULTS AND DISCUSSIONS Figure 4 shows overall (all filters total) breakdown of filtration related defects at 3800 ml throughput. Bridge defect is dominant for both in NTI and in PTI with higher dominance in NTI. Defect type percentage between the test filters are not significant for both in NTI and PTI. a b Figure 4 Overall defect classification breakdown. a: NTI, b; PTI. 3.2 Filter start up Figure 5 shows filtration related defect density (total of five filtration related defects in Figure 3) as a function of resist throughput. Initially, defect density decreases with throughput then stabilizes at a point. Estimated stabilized point for NTI is 3300 ml and for PTI is 3550 ml. To utilize the results as much as possible, the stabilized result totals, boxed in red are used hereafter for comparison for statistical reliability. a b Figure 5 Filtration related defect density as a function of resist throughput. a: NTI, b; PTI. Average of four wafers. Error bar is standard deviation. The results boxed in red is used for comparison.

3.3 Filter comparison -Negative tone imaging- 3.3.1 Overall filter comparison Figure 6 shows defect density average from throughput 3300 ml to 3800 ml. The results on variables are discussed independently in the following sections. Figure 6 Filtration related defect density in NTI. Throughput 3300 ml to 3800 ml total. Error bar is standard deviation. n=11~12. 3.3.2 Filtration area Figure 7 shows an effect of filtration area on filter related defect density in NTI. As a result, significant reduction of defect density is observed in employing double filtration area. In constant flow filtration, double filtration area halves filtration pressure and also doubles contact time between gels and filter membrane. The results prove a prediction concluded in our previous study [7] using a simulated gel challenge test. The previous study indicated that reducing differential pressure and extending contact time are effective to enhance gel removal. Figure 7 Effect of filtration area on filter related defect density in NTI. Throughput 3300 ml to 3800 ml total. Error bar is standard deviation. n=12.

3.3.3 Enhanced cleaning Figure 8 shows an effect of cleaning option on filter related defect density in NTI. As a result, significant reduction in defect density is found in filter with enhanced cleaning. The enhanced cleaning intrinsically reduces organic extractables. The result indicates that the some of the organic extractables from the filter impact defect density in the NTI process. Figure 8 Effect of cleaning option on filter related defect density in NTI. Throughput 3300 ml to 3800 ml total. Nylon 6,6 enhanced cleaning vs. Nylon 6,6 standard cleaning. Error bar is standard deviation. n=12 3.3.4 Nylon 6,6 vs. HDPE Figure 9 shows an effect of membrane material on filter related defect density in NTI. As a result, defect density is lower in Nylon 6,6 than HDPE. The results suggest that adsorption of the defect precursor in the resist on the Nylon 6,6 membrane is stronger than on the HDPE membrane. Figure 9 Effect of membrane material on filter related defect density in NTI. Throughput 3300 ml to 3800 ml total. Nylon 6,6 enhanced cleaning vs. HDPE enhanced cleaning. Error bar is standard deviation. n=12 for Nylon 6,6 and n=11 for HDPE.

3.4 Filter comparison -Positive tone imaging- 3.4.1 Overall filter comparison Figure 10 shows filter related defect density average from throughput 3550 ml to 3800 ml in PTI. The results on variables are discussed independently in the following sections. Figure 10 Filtration related defect density in PTI. Throughput 3550 ml to 3800 ml total. Error bar is standard deviation. n=8. 3.4.2 Enhanced cleaning Figure 11 shows an effect of cleaning option on filter related defect density in PTI. As a result, no significant reduction of defect density is observed with the cleaning option. Figure 11 Effect of cleaning option on filter related defect density in PTI. Throughput 3550 ml to 3800 ml total. Nylon 6,6 enhanced cleaning vs. Nylon 6,6 standard cleaning. Error bar is standard deviation. n=8

3.4.3 Nylon 6,6 vs. HDPE Figure 12 shows an effect of membrane material on filter related defect density in PTI. As a result, defect density is lower in HDPE than Nylon 6,6. The results suggest two mechanisms. 1. adsorption of the defect precursor in the resist on the HDPE membrane is stronger than on the Nylon 6,6 membrane. And 2. higher sieving capability of the 5 nm rated HDPE filter surpassed 10~20 nm rated Nylon 6,6 filters. In this case, further improvement is expected with using finer HDPE filtration product, which has been already available in the market (Pall 2 nm rated HDPE filter). Figure 12 Effect of membrane material on filter related defect density in PTI. Throughput 3550 ml to 3800 ml total. Nylon 6,6 enhanced cleaning vs. HDPE enhanced cleaning. Error bar is standard deviation. n=8 3.5 Negative tone imaging vs. positive tone imaging 3.5.1 Enhanced cleaning Enhanced cleaning results of Figures 8 and 11 are compiled in Figure 13. Enhanced cleaning of the filter is effective only in NTI. In NTI, the incremental in the standard cleaning should be due to some organic extractables. The mechanism for the PTI cannot be elucidated with the given results and will be studied further. a b Figure 13 Filtration related defect density as a function of cleaning option. a: NTI, b; PTI. Compilation of Figures 8 and 11.

3.5.2 Nylon 6,6 vs. HDPE Results of Nylon 6,6 vs. HDPE for NTI and PTI are compiled in Figure 14. Nylon 6,6 performed lower defectivity in NTI. In contrast, HDPE performed better in PTI. Figure 14 suggests a mechanism in adsorptive point of view. In NTI, the defects should be hydrophilic because they are insoluble in hydrophobic n-butylacetate developer, therefore these hydrophilic defect precursors should be more adsorbed on Nylon 6,6 membrane during resist filtration. And in PTI, exactly the opposite mechanism can be suggested, hydrophobic defect precursors should be more adsorbed on HDPE membrane. a b Figure 14 Filtration related defect density as a function of filter membrane. a: NTI, b; PTI. Compilation of Figures 9 and 12. Figure 15 Suggested defect polarities and mechanisms adsorptive filtrations in NTI and PTI.

4. CONCLUSION The test results suggested appropriate filtrations in both NTI and PTI processes. In NTI, enlarged filtration area, enhanced filter cleaning and Nylon 6,6 adsorption have significant impact in reducing bridge defects. In PTI, using 5 nm rated HDPE filter is effective, indicating that further improvement is expected with using 2 nm HDPE filter. A theory suggested in previous study in enhancing gel retention with reducing differential pressure and with increasing contact time is proven practically in the current NTI test. Contrast of preferable membrane polarity between NTI and PTI is explained with the suggested polarity of the defects. With these findings, appropriate filtration can be recommended for end users. The findings also will be utilized in next generation filter development. REFERENCES [1] Umeda, T., et al., Defect reduction by using point-of-use filtration in new coater/developer, Proc. SPIE 7273, 72734B (2009). [2] Braggin, J., et al., Analysis of the Effect of Point-of-Use Filtration on Microbridging Defectivity, Proc. SPIE 7273, 72730S (2009). [3] Braggin, J., et al., Defect performance of a 2X node resist with a revolutionary point-of-use filter, Proc. SPIE 7639, 76391E (2010). [4] Gotlinsky, B., et al., "The effectiveness of sub 50nm filtration on reduced defectivity in advanced lithography applications," Proc. ARCH Interface Conf. (2003). [5] Umeda, T., et al., Research of appropriate filter membrane for reducing defects of ArF lithography, Proc. FUJIFILM Interface Conf. (2005). [6] Umeda, T., et al., Study on effective property of point of use filter for defectivity reduction in 75nm ArF lithography and 120nm KrF lithography, Proc. FUJIFILM Interface Conf. (2006). [7] Umeda, T., et al., "Filtration condition study for enhanced microbridge reduction," Proc. SPIE 7520, 75201K (2009). [8] Sevegney, M., et al., Microbridge and e-test opens defectivity reduction via improved filtration of photolithography fluids, Proc. SPIE 7273, 72730O (2009). [9] Braggin, J., et al., Characterization of Filter Performance on Contact Hole Defectivity, Proc. SPIE 7972, 79722Y (2011). [10] Cronin, M., et al., Effects of Nylon Filter Properties on Contact Hole Photoresist Imaging Performance and Defectivity, Proc. SPIE 8325, 83252M (2012). [11] Yamamoto, Y., et al., Resist dispense system for further defect reduction, Proc. SPIE 7972, 797230 (2011). [12] Tanaka, K., et al., Resist Process Optimization for Further Defect Reduction, Proc. SPIE 8325, 83252L (2012). [13] Bradon, N., et al., "Further investigation of EUV process sensitivities for wafer track processing," Proc. SPIE 7636, 763630 (2010). [14] Harumoto, M., et al., "Study of Post-Develop Defect on Typical EUV resist," Proc. SPIE 7636, 76362Z (2010). [15] Umeda, T., et al., Wet particle source identification and reduction using a new filter cleaning process, Proc. SPIE 9051, 90511F (2014). [16] Mizuno, T., et al, "A novel filter rating method using less than 30 nm gold nanoparticle and protective ligand," IEEE transactions on semiconductor manufacturing, Vol. 22, No. 4, pp. 452-461, (2009). *: Trademarks SOKUDO RF 3S is a trademark of SOKUDO CO., LTD. ASML and TWINSCAN are trademarks of ASML UVision and SEMVision are trademarks of Applied Materials, Inc. PhotoKleen is a trademark of Pall Corporation