AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

Similar documents
Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Trends in Device Encapsulation and Wafer Bonding

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

3D technologies for integration of MEMS

EV Group 300mm Wafer Bonding Technology July 16, 2008

Wafer-to-Wafer Bonding and Packaging

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Thin Wafers Bonding & Processing

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Guénaël RIBETTE. General Director

Rockwell R RF to IF Down Converter

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Surface Micromachining

NanoSystemsEngineering: NanoNose Final Status, March 2011

Nano-imprinting Lithography Technology І

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Microwave Plasma Processing

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Development of different copper seed layers with respect to the copper electroplating process

Lecture 22: Integrated circuit fabrication

Plasma-Enhanced Chemical Vapor Deposition

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Challenges for Embedded Device Technologies for Package Level Integration

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Manufacturing Process

Processing guidelines

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

300 mm Lithography and Bonding Technologies for TSV Applications in Image Sensor and Memory Products

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Final Report for AOARD

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

TSV Interposer Process Flow with IME 300mm Facilities

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

micro resist technology

SURFACE MICROMACHINING

Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW. Issued 2007/03/30

KGC SCIENTIFIC Making of a Chip

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Surface micromachining and Process flow part 1

Ultra High Barrier Coatings by PECVD

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

200mm Next Generation MEMS Technology update. Florent Ducrot

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules

PROCESSING OF INTEGRATED CIRCUITS

Photolithography I ( Part 2 )

THERMAL DIFFUSIVITY THERMAL CONDUCTIVITY

Lab #2 Wafer Cleaning (RCA cleaning)

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Three-Dimensional Molded Interconnect Devices (3D-MID)

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Fluxless soldering using Electron Attachment (EA) Technology

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Transactions on Engineering Sciences vol 2, 1993 WIT Press, ISSN

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Lecture Day 2 Deposition

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

DuPont MX5000 Series

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Visit

Hitachi Anisotropic Conductive Film ANISOLM AC-7106U

Non-contractual document, specifications subject to change without notice.

HYPRES. Hypres MCM Process Design Rules 04/12/2016

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Supporting Information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Productivity versus Profitability in Vacuum Web Coating

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

Preprint - Mechatronics 2008, Le Grand-Bornand, France, May

3. Overview of Microfabrication Techniques

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

Applied Research for Vacuum Web Coating: What is Coming Next?

Materials Characterization

Thermal Evaporation. Theory

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White

MRSI-175Ag Epoxy Dispenser

Transcription:

AML AML- Technical Benefits 4 Sept 2012 www.aml.co.uk

AML In-situ Aligner Wafer Bonders Wafer bonding capabilities:- Anodic Bonding Si-Glass Direct Bonding e.g. Si-Si Glass Frit Bonding Eutectic Bonding Thermo-compression Adhesive Bonding Aligned Embossing In-situ UV cure Temporary Bonding These various techniques have different tolerances to particles, wafer flatness, surface topography. RAD activation for low T bonding In situ chamber alignment & bonding = more process flexibility & higher throughput

AML in-situ Bonding Platform In-situ Radical Activation External Optics +/-1 post bond AUTO alignment IR & Visible also NIR No alignment shift between alignment & bonding Water cooled platens Unique Wafer edge clamping system no contact on bond surface Platens have adjustable parallelism In-situ chemistry Large gap between wafers during pump down / heating Spring pin for Direct bonding 2.5kV (current limited) for Anodic Bonding Vacuum or Controlled Process Gas pressure up to 2 bar absolute Fast pump down Vacuum- 1 10-5 mbar in 10 min Independently heated Upper and Lower Platens (Max 560 0 C) align hot or cold wafers at different T if required Nitrogen for fast cooling X-Y-Z, Manipulation - Up to 25-100 KN Force

AWB Platform Outline Spec:- Unique In-situ alignment system (X,Y,Z & θ) Up to 1 micron accuracy manual & Auto alignment Full automatic PC control & data acquisition, Application of High Voltage up to 2.5KV Temperatures up to 560oC, Forces up to 25000 to 100,000 N Self contained dry pumping system (Turbo & back pump) for vacuum up to 10-6 mbar. Forced nitrogen cooling. 2 to 8 wafers (Depending on model chosen) RAD Wafer activation for low T bonding Water cooling In-situ Chemistry! Remote interrogation via Teamviewer http://www.teamviewer.com Optics: Visible, IR & NIR (for highly doped wafers & hot alignment) Trend in MEMS to use highly doped wafers

Manual Load Fully automatic Robot Wafer Load Fully automatic

Wafer bonding has found many applications in field of MST, MEMS, III-V, ICs & Optical devices: MEMS devices - Pressure Sensors, Accelerometers, Microfluidics Vacuum encapsulation (absolute pressure, IR detectors ) 1st Level Packaging to isolate package induced stresses. Wafer scale Packaging MEMS & IC III-Vs e.g. high performance LEDs bonded reflector - heat sink 3D Interconnects Temporary bonds for handle wafers (interlayer & direct) Advanced bonded substrates e.g. Si on Glass (SOG), GaAs on Si Layer transfer - Smart cut Front End Bonded Substrates Starting material FAB Device construction WLP 3D Packaging & Int Back End

Difference between AML & EV/SUSS AML align & bond in 1 machine, 1 process chamber EV/SUSS need 2 machines; align wafers in an aligner then transfer wafers via a jig to a bonder

AML Platform Align & contact wafers cold or hot at bond OR Intermediate temperature (reduces expansion misalignment due to TCE difference e.g. Si-Sapphire Allows out gassing at T and then align without waiting to cool down EV / SUSS Method Wafers can ONLY be aligned and contacted COLD Spacers ~100 microns thick

AML Platform Able to hold two wafers at different Temperatures, can keeping 1 wafer at high T & keeping other e.g. CMOS wafer at <400C Getter activation Outgassing other wafer before getter wafer is activated increasing its life EV / SUSS Method Impossible to keep wafers at different T Spacers ~100 microns thick Spacing up to 30mm

AML Platform Confirm alignment accuracy before committing to bond Observe bonding process in real time to help speed up process development EV / SUSS Method Impossible optics & bonding in different machines! BLIND! Spacers ~100 microns thick

AML Platform No wafer movement between alignment & bonding guaranteed alignment accuracy No Jig! Esp when soft flowing interlayer is present e.g. adhesive or glass frit EV / SUSS Method Remove spacers wafers sometimes move - & you will not know! Spacers ~100 microns thick

AML Platform No flags touching bond surfaces so no damage or flag removal issues Edge grip EV / SUSS Method Flags touching wafer surface Spacers ~100 microns thick

AML Platform EV / SUSS Method Simultaneous Alignment, Heating & fast Pumping Heating & Pumping only Pumping rate low due to trapped volumes Spacers ~100 microns thick

AML Platform Best system for vacuum encapsulation outgas with separated wafers - Frit Reliable & known process gas conditions at the wafer surface i.e. Pressure (P) reading representative of P between wafers EV / SUSS Method Unknown conditions at wafer surface. Pressure between wafers could be decades higher than measured in chamber Spacers ~100 microns thick P xp P P

AML Platform EV / SUSS Method Spacing allows in-situ processing or Chemistry Impossible wafers in contact Spacers ~100 microns thick Spacing up to 30mm

Unique In-situ Chemistry CAB Only machine to perform in-situ Chemistry, Align, Activate & Bond in 1 chamber without any handling between steps. Simple as CAB e.g. Metal Oxide removal on the wafers surfaces just before alignment & contact with forming gas or Formic acid - due to wide wafer separation in bond chamber See paper Tyndall/UCL/AML Waferbond conference, Chemnitz, Germany 6-8 Dec 2011 Many other possibilities; e.g. Plasma etch Oxide before wafer contact Vacuum or Controlled Process Gas pressure up to 2 bar absolute

Summary of AML Uniqueness & Benefits Only machine that can align, activate & bond in 1 chamber without wafer handling Only machine with high accuracy alignment adhesive bonding - with in-situ UV cure in vacuum Ultimate Process Flexibility Including Nano-Imprint polymer embossing Unique In-situ Chemistry Vacuum or Controlled Process Gas pressure up to 2 bar absolute

New Brute 100kN High Force machine Bonds that require high force AlGe eutectic bond Application is encapsulation of CMOS MEMS for which the final aluminium metallization layer is used to define bond frames. The high force is required to break through the aluminium oxide layer to enable direct contact of aluminium (on CMOS device wafer) to germanium (on capping wafer) in order to form the eutectic. Typical force needed is 40kN Cu-Cu bond Application is 3D interconnect. Again high force needed to break through copper oxide. Typical force needed is 30kN. (Note that AML s in situ formic acid vapour clean reduces the required force to <10kN) Low forces can be used instead with CAB

RADICAL ACTIVATION (enables low temperature Direct Bonding) Inside chamber RAD activation option activated surfaces are not exposed to atmosphere between activation & aligned contact Less surface roughening and more uniform activation than plasma No exposure of wafers to energetic ions --> can be used with sensitive device wafers Wider process time window for activation than with plasmaprocess time less critical In-situ system provides better reproducibility and therefore better process stability

ECONOMIC AML AWB Commercial Benefits Lowest cost per bond & ownership Machine cost (Align & Bond), speed Small footprint No mask aligner required- free to choose best mask aligner for your mask aligning. Leaves your mask aligner always available for photolith System is complete no other equipment required Reasonably priced spares & support EASY Very high reliability minimal servicing fast & simple to maintain Standard machines as well as custom options to suit specific customer needs Easy to use - system up and running & in use in minimum time. Process recipes easy to generate SUPPORT Excellent process support via BONDCENTRE fast response More than 25 years machine & wafer bonding process experience. Worldwide Machine base.

Embossing capability included for free! 3 Machines for the price of One! Aligner, Bonder & Embosser! AWB platform can be configured to also perform Aligned Hot Embossing of Polymers to form Micro & Nano structures. Stamp wafer Polymer layer to be embossed No extra tools or tool changes are necessary Vacuum or Controlled Process Gas pressure up to 2 bar absolute Fast pump down Vacuum- 1 10-5 mbar in 10 min X-Y-Z, Manipulation - Up to 22.5-100 KN Force

New Polymer Micro-Nano Hot Emboss & Print tool Applications: e.g. Bio-sensors & Microfluidics Nano arrays, Polymer electronics Polymers: SU8, PDMS, PMMA.. Based on same equipment platform Outline Specification < 100nm structures ALIGN, EMBOSS & BOND WITH ONE MACHINE Force up to25kn T </= 500 C Operation in Vacuum Stroke < 750 microns to 2mm 700N De-emboss force micron alignment between stamp & substrate Long stroke with high lateral precision for the formation of high aspect ratio embossed structures

Case History 1. Vacuum Encapsulation A large US company was having difficulties developing an aligned anodic bonding, vacuum encapsulation process Needed to maintain the wafers at two different temperatures (to thoroughly activate getter material at > 500C, while maintaining a temperature sensitive device wafer at below 400C) An in-situ process with large large platen separation allowed this bonding to be successfully performed (in practice the second wafer could have be maintained at <100C) After the activation process the getter wafer was allowed to cool down to the bonding temperature without breaking vacuum

Case History 1 Getter Activation Temp Time Profile Subsequent testing of the device functionality indicated a cavity pressure of < 10 mtorr (<10-2mBar).

Two scenarios where you would want to maintain a differential temperature when processing a wafer containing a getter If you have a temperature sensitive wafer which must not experience the temperature required to activate the getter then differential temperature can be used, with the higher temperature platen being used to activate the getter, whilst the temperature sensitive wafer is maintained at a lower temperature. Once activated the getter wafer temperature can be reduced and the two wafers bonded. If you have a wafer that exhibits a lot of outgassing you may want to prevent that outgassing from saturating the getter prior to encapsulation. Again differential temperature can be used, this time with the getter wafer being kept below the activation temperature whilst the other wafer is heated to higher temperature in order to perform outgassing. The getter wafer can then be heated to activation / bond temperature and the wafers bonded.

Case History 2. Removal of Surface Oxide A European Packaging company required a eutectic gold tin bond with an in-situ forming gas treatment to remove surface oxide immediately before contacting the wafers. Wafers were widely separated in the bond chamber Good access of the forming gas to the oxidised tin surface. Reducing process is fast and efficient After the reducing process, chamber evacuated to <10-4 mbar for the bond. No opportunity to re-oxidise before the bonding process.

Scanning Acoustic Microscope Image of Eutectic Bond Case History 2 Example SAM scan of eutectic bonded wafer. zoom image of approx. 15 mm 15mm area.

Case History 3. In-Situ Observation of Bonding Layers A Chinese packaging company required a 200mm epoxy bonding process to be developed. In-situ optics enabled observation of epoxy spread as wafers clamped Allowed rapid process development - epoxy spread was controlled Avoided excessive bond line width, while creating robust bond-lines. Also optimised the in-situ time / temperature cure process Direct observation of the adhesive to see when the cure is complete.

In Situ Observation of epoxy spread during aligned bonding Case History 3

Case History 4. Control of Stress During Anodic Bonding A manufacturer of Gyrosocopes was achieving low yield due to large differences in temperature sensitivity for the devices. Thermal sensitivity is often an indicator of the degree of stress in the bonded wafers Traditional anodic bonding methods using voltage limited bonding can lead to large variations in stress across the bonded wafer pair By using current-limited anodic bonding the post-bond stress can be made much more uniform leading to improved device thermal stability

Case History 4 Typical current shape vs. time for voltage limited anodic bonding The peak current can be several 10 s ma (for 100mm wafers) & if the voltage is ~1kV then several 10 sw can be dissipated directly in the wafer pair being bonded. Current (ma) Peak current typically 50mA Time (minutes)

onsequences of the Current Peak During Anodic Bonding Case History 4 Because of the non-perfect flatness of the wafers, they will initially only be in intimate contact at selective points and the current will initially be concentrated here. The Joule heating that occurs results in parts of the bond interface being at higher temperature than intended, as set by thermocouples in the wafer chucks. Variations in local temperature at the time that the wafers become bonded can result in stress variations caused by the local differences in the differential thermal contraction during cooling, and different diffusion profiles of the various mobile ions in the glass. The stress variations result in different temperature sensitivities for devices from various parts of the bonded wafers. With current limited bonding the voltage is initially very low & then increases gradually as the bond progresses (see below) & the bond area increases thereby providing better control over temperature uniformity and hence device-to-device reproducibility.

Improvements to Variations in Temperature Sensitivity of the Gyroscopes as a Result of Change to Current Limited Anodic Bonding Case History 4 The improvements are shown in the Figures below which show the spread of performances in a key monitoring parameter for gyro s made under voltage limited anodic bonding conditions (A) and current limited anodic bonding conditions (B) (A) (B)

Summary Wafer bonding is a strategic process (front end to back end) in MEMS, IC & III-V s, applications growing rapidly.. AML platform ideal for flexible aligned wafer bonding for research to production AML BONDCENTRE process support key if you are new to wafer bonding & WLP

BONDCENTRE SERVICES OFFERED Development of Wafer Bonding & associated Processes e.g. for many novel materials; silicon, glass, sapphire, strained silicon, InP, GaAs, >25 years MEMS experience Wafer Bonding process selection & design for your application Commercial Wafer Bonding Service prototype to production & products e.g substrates Wafer Bonding Technology Transfer (inc Equipment) & Training Associated Processes (Pre & Post Bond) Applications knowledge for: MEMS Advanced Substrates 3D integration Temporary Bonding Smart cut layer transfer Wafer Level Packaging Vacuum Encapsulation LEDs Recognised experts & Funded by UK Government to provide services to Industry

Pre & Post Bonding Services & Equipment WAFER ALIGNER BONDERS 4 machines in Class 10 Wafer METROLOGY; AFM, Ra, PROFILE, TTV WAFER CLEANING MEGASONIC & Activation NEW RAD dry ACTIVATION INSPECTION: ACOUSTIC MICROSCOPE (SAM) & IR ELECTROPLATING: e.g. VIAS in Au, In, Cu & Ni SCREEN PRINT - GLASS FRIT/ADHESIVE Structuring e.g. Holes via POWDER BLASTING CMP Also access via long term collaboration with CMF @ Rutherford to: PHOTOLITHOGRAPHY DEPOSITION- PVD & CVD & FURNACES Standard ETCHING DRY & WET WAFER SAW WIRE & BUMP BONDING METROLOGY: THIN FILM, LINE WIDTH, SEM