Development of Low Temperature Oxidation Process Using Ozone For VlSI

Similar documents
Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Oxide Growth. 1. Introduction

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Radiation Tolerant Isolation Technology

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Is Now Part of To learn more about ON Semiconductor, please visit our website at

MOS Gate Dielectrics. Outline

Chapter 3 Silicon Device Fabrication Technology

Silicon Wafer Processing PAKAGING AND TEST

Lecture 22: Integrated circuit fabrication

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance

EECS130 Integrated Circuit Devices

Isolation Technology. Dr. Lynn Fuller

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

Hei Wong.

Challenges of Silicon Carbide MOS Devices

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Development of low temperature oxidation for crystalline silicon thin film transistor applications

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Semiconductor Technology

From microelectronics down to nanotechnology.

1 Thin-film applications to microelectronic technology

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

LEAKAGE CURRENT REDUCTION OF MOS CAPACITOR INDUCED BY RAPID THERMAL PROCESSING

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

VLSI Technology. By: Ajay Kumar Gautam

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

EE 434 Lecture 9. IC Fabrication Technology

Silicon Oxides: SiO 2

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

FABRICATION of MOSFETs

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

CMOS FABRICATION. n WELL PROCESS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

Materials Characterization

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

VLSI Systems and Computer Architecture Lab

Long-term reliability of SiC devices. Power and Hybrid

I. GaAs Material Properties

Layout-related stress effects on TID-induced leakage current

Atomic Layer Deposition of Novel High Dielectric Constant Materials

Anodic Aluminium Oxide for Passivation in Silicon Solar Cells

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

Fabrication Technology

Nanosilicon single-electron transistors and memory

Isolation of elements

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Characterization of thin Gd 2 O 3 magnetron sputtered layers

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Wet Oxidation and Hydrogen Incorporation on 4H-SiC (c-face)

Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Al 2 O 3 SiO 2 stack with enhanced reliability

Trench Structure Improvement of Thermo-Optic Waveguides

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Post-CMP Cleaning: Interaction between Particles and Surfaces

3. Overview of Microfabrication Techniques

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Thermal Evaporation. Theory

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Impurity free vacancy disordering of InGaAs quantum dots

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

2. High Efficiency Crystalline Si Solar Cells

Semiconductor Technology

Microelectronics Reliability

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

2007 Elsevier Science. Reprinted with permission from Elsevier.

Transcription:

Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS transistor the thickness of gate oxide (SiO 2 ) is reaching in regime where it is just 2-3 atomic layers thick about 1 to 1.5 nm thick because of thin oxide layers there is direct tunnelling of charge carriers through gate oxide, and the transport of charge carriers through defects in gate oxide. The increasing leakage current through gate oxide is proving to be a showstopper to the scaling of MOS transistor, and saturating the Moore s Law. For the applications, where the devices are need to be fabricated on plastic, glass or poly-crystalline silicon substrates a good quality of oxide is required to be grown at low temperatures. In this work a low temperature, defect free oxide growth technique using ozone is presented and we study the effect of various ambient temperatures on growth of SiO 2, the effect of pre cleaning and passivation on quality of ozone grown oxide in terms of bulk defect density, Si-SiO 2 interface trap charge density and on oxide life time is presented. Keywords: MOS (Metal Oxide Semiconductor), FET (Field Effect Transistor), TDDB (Time Dependent Dielectric Breakdown), D it (Density of Interface Traps). I. INTRODUCTION The oxidation process of silicon is the heart of all the fabrication steps followed in fabricating a MOSFET and makes a MOFET functional. But with the advancement in the IC technology and diminishing size of MOSFET s this crucial SiO 2 is getting thinner and thinner. The thickness of SiO 2 currently required is less than 1 nm [1], which is just 3 monolayer of SiO 2 (1 monolayer ~ 0.3nm). For the performance evaluation MOSFETs, the most basic factor is the current between source and drain, which the transistor is able to drive. More current shows that the transistor can be operated faster and all the parasitic capacitances and resistances within that transistor are having a lesser impact on MOSFET. Firstly, the gate voltage, applied between gate electrode and substrate separated by a dielectric material to form a parallel plate capacitor type arrangement, and the accumulation or inversion of the charge carriers is induced in the substrate on its application. The higher performance demanded from the transistor. From the basics of the MOSFET operation; we know there are two voltages available to control the operation of the value of capacitance signifies more inversion charge carriers in the semiconductor channel for the same applied voltage. And the second one is the voltage, which we apply between source, and drain, which is accountable for driving the induced charges forward in the channel. Thus SiO 2 is the dielectric material, which made FET transistors to work in silicon technology. Significant properties of SiO 2 are as follows: a) The high quality interface between Si and SiO 2. b) Chemical and thermal stability at high temperature c) (~1000 0 C). d) Good quality of insulation. e) d)the hard mask in different diffusion and doping process. f) High breakdown fields of 13 MV/cm. Conventionally, molecular oxygen is used to grow the SiO 2 layer. In this process the silicon wafer is subjected to high temperature (900 C 1200 C), which in turn exerts a lot of thermal stress on the wafer, alters doping profile and causes the elevated thermal budget. However, this high temperature in conventional process of oxidation cannot be applied to the modern substrates such as plastics and glass. Thus, there is the need of development of a new oxidation method. which is feasible at lower temperature and thus the thermal stress on the wafer can be reduced as well as reducing processing cost. Use of ozone for oxidation of silicon at comparatively much lower temperatures is an attractive option. Ozone is a tri-atomic allotrope of oxygen, with symmetrical bent structure with an angle of 116 0 49, and equal oxygenoxygen bond length of 0.128 nm [2]. Since, this O-O bond length is shorter than that in H 2 O 2 and O 2 and hence it is characterized as a double bond with bond order of 1.7 [2]. Due to the high reactivity of ozone [2] it is a proposed oxidant [3] to grow high quality SiO 2 film at low temperatures, and thus overcoming issues associated with thermal oxidation. II. EXPERIMENT AND RESULTS The performed experimental results are classified into following categories: A. Effect of pre cleaning and passivation on oxide quality. To study the effect of pre cleaning and passivation on oxide and oxide interface some samples are processed with certain fabrication steps and obtained MOS capacitors of five different device areas on two differently processed silicon substrate. The results obtained are shown in Table I. One substrate is passivated with hydrogen (-H) and other one is with hydroxyl (-OH) and the effect observed in different optical and electrical characteristics are as follows: Copyright to IJIREEICE www.ijireeice.com 1835

Effect on optical thickness: The optical thicknesses of both the samples are measured using spectroscopic reflectometry using the Nanocalc - DUV system from Ocean Optics Inc. Germany. The measurements are done at different locations on the sample and the results obtained are shown in Table II Effect on bulk defects: Gate current through oxide of thickness in this range is mainly depended on two phenomenon 1) Tunnelling current 2) Charge hopping through bulk defects in SiO2 [16].The leakage currents density obtained for the devices of different area distributed across the wafer are shown in Figs. 1-5. Table I Metal dots of different areas deposited Area 1 7.78e-2 Cm 2 Area 2 4.62e-3 Cm 2 Area 3 1.86e-3 Cm 2 Area 4 3.317e-4 Cm 2 Area 5 5.178e-5 Cm 2 Table II Optical thickness obtained using spectroscopic reflectometry Maximum Thickness Recorded Minimum Thickness Recorded Average Thickness Recorded -OH Passivated 1.35nm 1.18nm 1.21nm -H Passivated 1.89nm 1.65nm 1.80nm Fig. 3 Gate leakage current density for different devices of area 1.86e-3 cm 2 distributed across wafer. Fig. 4 Gate leakage current density for different devices of area 3.317e-4 cm 2 distributed across wafer. Fig. 1 Gate leakage current density for different devices of area 7.78e-3 cm 2 distributed across wafer. Fig. 5 Gate leakage current density for different devices of area 5.178e-5 cm 2 distributed across wafer. Fig. 2 Gate leakage current density for different devices of area 4.62e-3 cm 2 distributed across wafer. We know that both H and OH covered silicon surface shows an initial repulsion to the O 3 molecule and thus initially cause a little inhibition in SiO 2 growth. But from the differences in the final optical thickness that we have measured, it can be concluded that OH ions provide more inhibition for SiO2 growth. We have deduced the level of bulk defects present in both the oxides from J-V curves of devices of different dot areas for both type of passivation as the gate leakage is dependent on two factors 1) Tunneling of charge carriers 2) Conduction through bulk defects. The behaviors shown by leakage current density Copyright to IJIREEICE www.ijireeice.com 1836

for small and large area devices shows that for large area devices the defect assisted leakage is prominent as compared to tunneling component of current in H passivated devices even though the thickness is high as compared to OH passivated but since defects are randomly distributed over area and thus the defect associated component is decreased with area and since tunneling is high in OH passivated, for small areas the leakage current is high in OH passivated. B. Effect on Si-SiO2 interface To get the effect of pre cleaning and passivation on Si- SiO2 C-V and Gp/ω vs f characterization of samples are been done and results are shown in Figs. 6-11. Fig. 9 CV characteristics of different MOS devices with H passivated Si, tox= 2nm. Fig. 6 CV characteristics of different MOS devices with OH passivated Si, tox= 1.2 nm. Fig. 10 Gp/ω Vs f characteristics MOS capacitor with H passivated Si at different gate voltages. Fig. 11 Dit Vs position within Si band gap for H passivated Si. Fig. 7 Gp/ω Vs f characteristics MOS capacitor with OH passivated Si at different gate voltages. Fig. 8 Dit Vs position within Si band gap for OH passivated Si. The density of interface trapped extracted for both H and OH passivated samples, make clear that there is huge difference in density of interface traps in both the samples. For OH passivated samples the maximum Dit recorded is 3 10 10 while in H passivated samples it is going to 1.5 10 15 which gives the difference five orders of difference. Even when we compare the ozone grown oxide with thermally grown oxide we can see three orders of improvement in density of interface traps shown in Fig. 12. C. Effect on oxide lifetime (TDDB) Time dependent dielectric breakdown analysis is a good method for life time estimation of SiO 2. This test is done at constant stress voltage to maintain the electric field of 6 MV/cm to 8 MV/cm and breakdown time is recorded. The results are shown in Figs. 13-17. Copyright to IJIREEICE www.ijireeice.com 1837

Fig 12 Density of interface traps in thermally grown oxide. Fig. 13 Leakage current Vs Time for OH passivated Si at stress voltage of 2 volts. Fig. 14 Noise in leakage current Vs Time for OH passivated Si at stress voltage of 2 volts Fig. 16 Noise in leakage current Vs Time for H passivated Si at stress voltage of 1.2 V. From the TDDB experiment and measured in figure below we can conclude two important observations. Firstly, the most of the breakdown events occurred for OH passivated silicon are soft while for H passivated hard breakdown are more frequent and thus leads to catastrophic failure of devices. Secondly, even at high stress electric field for OH passivated samples the breakdown is soft and time to breakdown is nearly equal to H passivated samples those are stresses at lower electric field. D. Effect of oxidation temperature on oxide quality To study the effect of temperature on oxide quality MOS capacitor has been fabricated with oxide grown at different temperatures viz. 50 C, 100 C, 200 C, and 300 C with ozone flow rate of 0.5 liter/minute to obtain MOS capacitors. The results are shown in Figs. 18-25. The substrate is prepared by certain following the process steps. And this study is done on OH passivated surface because OH passivation is enhancing the oxide quality in every aspect as compared to H passivated substrate. The diameter of metal electrode is 0.6 mm. C-V and I-V characterization is done for evaluation of parameter such as leakage current, oxide thickness and mobile trap charges. The C-V characterization is done at 100 Khz frequency at room temperature. Fig. 15 Leakage current Vs Time for OH passivated Si at stress voltage of 1.2 V. Fig. 17 TDDB in different devices of different areas at 2.8 stress voltage. Copyright to IJIREEICE www.ijireeice.com 1838

Fig. 18 CV characteristics of different devices at 50 C, tox= 2.5 3nm. Fig. 22 CV characteristics of different devices at 200 C, tox= 2.5 3nm. Fig. 19 IV characteristics of different devices at at 50 C. Fig. 23 IV characteristics of different devices at 200 C Fig. 20 CV characteristics of different devices at 100 C, tox= 2.5 3nm. Fig. 24 CV characteristics of different devices at 300 C, tox= 2.5 3nm Fig. 21 IV characteristics of different devices at 100 C. Fig. 25 IV characteristics of different devices at 300 C. Copyright to IJIREEICE www.ijireeice.com 1839

E. Effect of oxidation temperature on OH passivated samples Effect on oxide thickness: The measured optical thickness as described above and the electrical thickness measured from accumulation capacitance in capacitance and voltage characteristics shows no significant change in the oxide thickness with change in temperature. For all the devices with oxide grown at different temperatures the oxide thickness recorded is between 2.5 nm 3nm. Effect on oxide quality: With increase in temperature, the drop in gate leakage current is observed even the thickness of SiO2 is nearly same which suggests that this increase in gate leakage current is due to increase in defect assisted leakage through SiO2 because for same oxide thickness the tunnelling current component are equal. And the increase in inversion to accumulation transition slope observed. Thus it is evident that with increase in oxidation temperature the quality of oxide is also enhancing. Table III Maximum gate leakage current recorded for oxide grown at different temperatures. III. CONCLUSION In this research we have done optical characterization, current voltage characterization, capacitance voltage characterization for estimation of oxide quality of H passivated and OH passivated samples. We have done Time dependent dielectric breakdown test for life time evaluation of both types of devices fabricated using different passivation technique. And lastly to study the effect of oxidation temperature on the quality of oxide on OH passivated samples we have done I-V and C-V characterization of MOS devices with oxide grown at different temperatures. In this paper we have discussed various characterization techniques that we are going to use for evaluation of various parameters of SiO2, and using those parameters we can estimate the oxide quality in various growth conditions. For estimation of oxide bulk defects we have done I-V characterization and owing to its simple principle of bulk defects estimation, this experiment directly provides the estimation of bulk defect concentration without any sophisticated experiment. For calculation of oxide thickness and flat band voltage we have straightforwardly used the capacitance voltage characterization thus from accumulation capacitance and from end of accumulation region in CV curves we will be able to calculate Tox and V FB. But for the calculation of oxide life time we have to go through time taking constant voltage stress test. But for detection of breakdown in thin oxide we have done noise change detection. This method of ozone oxidation can be successfully applied to other semiconductor and High-κ materials for semiconductor applications. Many researchers have shown the application of ozone oxidation of SiGe, GaAs and SiC and many High-κ materials, which can be studied and similar kind of passivation techniques can be developed for improvement in oxide quality. REFERENCES [1] SIA, International Technology Roadmap for Semiconductors, http://public.itrs.net, Process Integration Devices and Structures, 2007 update. [2] Oyama, S. T., Chemical and Catalytic Properties of Ozone, Catalysis Reviews, Vol. 42, no. 3, pp. 279-322, 2000. [3] K. Takahashi, H. Nohira, T. Nakamura, T. Ohmi and T. Hattori, Influence of Interface Structure on Oxidation Rate of Silicon, Japan. J. Appl. Phys. Vol. 40, no. 68, 2001. [4] T. Nishiguchi, S. Saitoh, N. Kameda, Y. Morikawa, M. Kekura, H. Nonaka and S, Ichimura, Rapid Oxidation of Silicon Using UV- Light Irradiation in Low-Pressure, Highly Concentrated Ozone Gas below 300 C, Japan. J. Appl. Phys. Vol. 46, pp. 2835, 2007. [5] Chao S. C., Pitchai R. and Lee Y. H., Enhancement in thermal oxidation of silicon by ozone, J. Electrochemical Soc., Vo., 136, pp. 2751 2752, 1989. [6] Kazor A. and Boyd I. W., Ozone-induced rapid low-temperature oxidation of silicon, Appl. Phys. Lett., Vol. 63, pp. 2517 2519, 1993. [7] N. Kameda, T. Nishiguchi, Y. Morikawa, M. Kekura, H. Nonaka and S. Ichimura, High quality gate dielectric film on poly-silicon grown at room temperature using UV light excited ozone, J. Electrochem. Soc.vol. 154, pp. 769 772, 2007. [8] Hirose K, Nohira H, Azuma K and Hattori T, Photoelectron spectroscopy studies of SiO2/Si interfaces, Prog. Surf. Sci. Vol. 82, pp. 3 54, 2007. [9] N. Awaji, S. Ohkubo, T. Nakanishi, Y. Sugita, K. Takasaki and S. Komiya, High-density layer at the SiO2/Si interface observed by difference x-ray reflectivity, Japan. J. Appl. Phys., vol. 35, pp. 67 70, 1996. [10] K. Nakamura, S. Ichimura, A. Kurokawa and K. Koike, Effect of highly concentrated ozone on the etching properties of preoxide films on Si(100), Japan. J. Appl. Phys., Vol. 241,pp. 754 757, 2002. [11] Q. Fang, J. Zhang, Ian W. Boyd, Rapid oxidation of silicon using 126 nm excimer radiation at low pressure, Applied Surface Science, Vol. 208 209, pp 369-373, 2003. [12] H. Okabe, Photochemistry of Small Molecules, John Wiley & Sons, New York, pp. 240, 1978. [13] Sze S.M., Physics of Semiconductor Devices (2nd edition), Willey Publications, 2008. [14] Campbell S.A., The Science and Engineering of Microelectronic Fabrication, Oxford University Press, 1996. [15] Brown G.A., Electrical Measurement Issues for Alternative Gate Stack Systems Springler series in Advanced Microelectronics, vol.17, pp 521-562, 2005. [16] Houssa M. and Tuominen M., Trap-assisted Tunneling in High Permittivity Gate Dielectric Stacks, Journal of Applied Physics, Vol. 86, pp 8615-8620, 2005. [17] Nicollian E.H. and Brews J.R., MOS Physics and Technology, Willey, 2005. [18] Okawa, Y.; Norimatsu, H.; Suto, H.; Takayanagi, M., "The negative capacitance effect on the C-V measurement of ultra thin gate dielectrics induced by the stray capacitance of the measurement system," International Conference on Microelectronic Test Structures, 2003, pp. 197,202, 17-20 March 2003. [19] D. K. Schroder, Semiconductor Material and Device Characterization (Wiley, New York, 2006), pp. 330-370. [20] E. H. Poindexter and G. J. Gerardi and M.-E. Rueckel and P. J. Caplan and N. M. Johnson and D. K. Biegelsen, Electronic traps and Pb centers at the Si/SiO2 interface: Band-gap energy distribution, Journal of Applied Physics, Vol. 56, No. 10, pp. 2844-2849, 1984. Copyright to IJIREEICE www.ijireeice.com 1840