MOS Front-End. Field effect transistor

Similar documents
Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

FABRICATION of MOSFETs

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Cost of Integrated Circuits

Silicon Wafer Processing PAKAGING AND TEST

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 434 Lecture 9. IC Fabrication Technology

Isolation Technology. Dr. Lynn Fuller

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 22: Integrated circuit fabrication

Fabrication and Layout

CMOS Manufacturing Process

Fabrication and Layout

VLSI Systems and Computer Architecture Lab

MOS Gate Dielectrics. Outline

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Isolation of elements

Manufacturing Process

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

CMOS FABRICATION. n WELL PROCESS

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Layout-related stress effects on TID-induced leakage current

Intel Pentium Processor W/MMX

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

EE-612: Lecture 28: Overview of SOI Technology

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

Chapter 3 Silicon Device Fabrication Technology

Design and Optimization of 22nm NMOS Transistor

Historical Development. Babbage s second computer. Before the digital age

2006 UPDATE METROLOGY

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Motorola PC603R Microprocessor

Lezioni di Tecnologie e Materiali per l Elettronica

1 Thin-film applications to microelectronic technology

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Lecture 2. Fabrication and Layout

1. Introduction. What is implantation? Advantages

Radiation Tolerant Isolation Technology

EECS130 Integrated Circuit Devices

Interconnects OUTLINE

Oxide Growth. 1. Introduction

Semiconductor Technology

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Modeling, Simulation and Fabrication of 100 nm (Leff) High Performance CMOS Transistors

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Laser Spike Annealing for sub-20nm Logic Devices

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Modeling of Local Oxidation Processes

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

VLSI Technology. By: Ajay Kumar Gautam

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Process Flow in Cross Sections

Semiconductor Technology

Silicon Oxides: SiO 2

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

SGS-Thomson M17C1001 1Mb UVEPROM

Fabrication Technology

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Dallas Semicoductor DS80C320 Microcontroller

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Making III-V contact with silicon substrates

Copyright by. Puneet Kohli

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

Lattice isplsi1032e CPLD

Defect Engineering in Advanced Devices on High-Mobility Substrates

id : class06 passwd: class06

New Materials as an enabler for Advanced Chip Manufacturing

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Silicon Manufacturing

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

Rockwell R RF to IF Down Converter

CHAPTER 2 - CMOS TECHNOLOGY

FOR SEMICONDUCTORS 2009 EDITION

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

CHAD ROBERT ESSARY UNIVERSITY OF FLORIDA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Transcription:

MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor Field Effect Transistor Invented: Lilienfeld 1926. First made: Kahng, Attala 1960 Properties of a MOSFET: Small area Low power Simple technology Lower switching speed than bipolar device CMOS: Complementary MOS: NMOS + PMOS 1

NMOS and PMOS transistors NMOS Free electron Free hole PMOS + + + - - - Conducts at +V G Conducts at -V G NMOS + PMOS = CMOS: why? CMOS - inverter layout V dd V in PMOS B = nwell V ss V out V dd V in V out n-well NMOS B = pwell p-substrate Circuit schematic Silicon cross section 2

From NMOS to CMOS V dd High Low Low High Capacitive load High Low Low High GND NMOS inverter CMOS inverter NMOS logic: high power during low output. NMOS inverter slowly switches to high output. (Same effects in PMOS logic.) Present CMOS 0.18 µm CMOS is now produced by all major manufacturers. This process features: 0.12-0.18 µm gate length, 0.4-0.5 µm pitch 3-3.5 nm gate oxide (regular SiO 2 ) 5-6 levels of metal interconnect About 10 7 transistors on a 1 cm 2 chip 1.2 GHz on-chip clock frequency 3

10 5 Gordon Moore 1965 (Prediction) Moore s Law 10 8 INTEL microprocessors Source: Intel website Pentium 4 Number of components per chip 10 4 10 3 10 2 10 1 10 0 Transistors per chip Year 1960 1965 1970 1975 10 7 10 6 10 5 10 4 Year 10 3 1970197519801985199019952000 Brews Law L t ox x j W s L min = 0.4 [ x j t ox (W s + W d ) 2 ] 1/3 L min : minimum gate length without short channel effects x j : junction depth (µm) t ox : oxide thickness (Å) W s, W d : depletion widths of source and drain junctions (µm) Moore s law implies gate length scaling Brews law implies: many other dimensions scale with it 4

ITRS roadblocks in CMOS front-end ITRS 2000 topics with no known solution : 90 nm node: Shallow junctions with x j 20-30 nm, R s 250-600 Ω/ 60 nm node: Gate dielectric thickness < 1.2 nm Gate tunnel current < 20 A/cm 2 Gate doping > 4x10 20 cm -3 In other words: the MOS transistor requires several research breakthroughs to continue scaling beyond the 100 nm node! http://public.itrs.net Outline Introduction to CMOS (why CMOS?) CMOS process flow CMOS process modules (step by step) 5

STI (Shallow Trench Insolation) formation (field isolation) Sacrificial oxide (warstwa protekcyjna) on top of silicon: To avoid contamination Retrograde (wsteczne) n-well formation n-well 6

Retrograde p-well formation p-well n-well Gate oxide growth + poly deposition poly 7

After polysilicon deposition Photo: Philips Research After gate etch - S/D formation 8

PMOS S/D extension implant (and NMOS extension implant) Spacer formation thin oxide + nitride 9

NMOS - S/D implant Simultaneous source, drain and gate doping, and well contact doping PMOS - S/D implant 10

After S/D implants Silicidation TiSi 2 or CoSi 2 (sub-0.18 µm technologies) 11

TEM cross-section after silicidation TiSi 2 Spacer Poly gate TiSi 2 Photo: Intel Contact formation 12

CMOS inverter after first metal input input 0.25 µm CMOS after Metal 6 13

Outline Introduction to CMOS (why CMOS?) CMOS process flow CMOS process modules (step by step) CMOS process modules Field isolation Wells Gate dielectric Gate conductor Shallow junctions Pocket implants Spacers Source, drain and gate doping (Silicide) 14

Field isolation Purpose: to electrically isolate adjacent MOSFETs Traditional in bipolar and MOS: LOCOS isolation sub-0.35 µm CMOS: always Shallow Trench Isolation LOCOS field isolation Si 3 N 4 SiO 2 Si Stack deposition Stack etch LOCal Oxidation of Silicon Stack removal 15

Shallow trench isolation (STI) Stack deposition Oxidation Trench fill Stack removal Trench etch (deposition & CMP) Transistor well formation Purpose of the well: opposite-type to S/D: to give isolation between S/D and wafer (reverse-biased diodes) inversion gives channel conductivity Issues: Doping level determines V T and short channel effects Diode leakage, capacitance, parasites Deep doping (~ 1 µm) Vertical and lateral grading super steep retrograde well pockets 16

Conventional well Concentration As implanted After 6h 1150ºC in N 2 n-well Depth 1D depth profiles P-substrate 2D cross section Standard Technology in > 0.5 µm CMOS generations + Low cost - standard equipment - Large temperature budget: long time - high T - Large lateral diffusion - Highest doping concentration at surface Retrograde well As implanted Concentration n-well Depth n-well P-substrate Standard in (sub) 0.25 µm CMOS technologies + Buried peak doping concentration (channel stop, latch up,...) + Low temperature budget - Dedicated high energy ion implanter needed for n-well - Higher junction capacitance 17

Super steep retrograde well SSR peak Concentration Depth Well Ideal Realistic Source Gate SSR Well Drain < 0.25 µm CMOS: high channel doping to control short channel effects low V T necessary SSR well: low surface doping (giving low V T and high mobility) high doping at 50-200 nm depth (reduces short channel effects) Gate oxide + gate polysilicon 18

Gate oxide formation Remove the existing SiO 2 that screens the silicon Clean the wafer Oxidize the wafer at high temperature Post-anneal (N 2, N 2 O, NO ) Immediately deposit polysilicon gate on top Thin oxide growth Furnace Wet (diluted H 2 O) - low temperature (600-700ºC) Dry (diluted) O 2 ( + nitridation N 2 O, NO) Temperature: 800-900ºC + Standard method, excellent uniformity, batch process - Run takes several hours. Very difficult for t ox < 2.5 nm Rapid Thermal Oxidation: Dry O 2 ( + nitridation N 2 O, NO) + Growth at higher temperature more nitrogen incorporation + Only a few minutes per wafer - Uniformity, reproducibility 19

TEM cross section thin oxide G. Timp et al., IEDM 1999 ITRS roadmap: oxide thickness (Equivalent) Oxide Thickness (nm) 2.5 2 1.5 1 1999 2001 2004 2007 2010 2014 0.5 0 0.18 0.13 0.1 0.07 0.05 0.03 CMOS generation (µm) Leakage current through SiO 2 increases exponentially 20

Tunnel current n-gate (band diagrams at negative gate voltage) 3.1 ev n-gate qv G SiO 2 p-substrate SiO 2 p-substrate Thick oxide (> 4 nm): triangular tunnel barrier Fowler-Nordheim tunneling Thin oxide (< 4 nm): Trapezoidal barrier Direct tunneling Tunnel current - very thin oxide J G (A/cm 2 ) 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 Measurement Model t ox = 1.4nm t ox = 1.7nm t ox = 2.2nm 0 0.5 1 1.5 Van Langevelde, IEDM 2001 V GS (V) Leakage current exceeds 1A/cm 2 (!) at 100 nm CMOS generation 21

ITRS: gate dielectric will change 2.5 SiO 2 High k EOT (nm) 2 1.5 1 0.5 0 0.18 0.13 0.1 0.07 0.05 0.03 CMOS generation (µm) But: no high-k dielectric yet fulfills all requirements! Candidate high-k gate dielectrics vacuum SiO 2 TiO Al 2 O 2 3 Si 3 N HfO 2 4 Ta 2 O 5 ZrO 2 BST K: 10 20 30 40 22

Gate electrode formation Dual-flavor gate technology in CMOS n + and p + doping of polysilicon Gate depletion Boron penetration Dual-flavor polysilicon gates n + poly for the NMOS transistor, p + poly for the PMOS Symmetric: given same oxide thickness and doping levels, V T NMOS = -V T PMOS Excellent work functions Convenient processing: self-aligned source, gate, drain and well contact implant; all activated together. Issues: how to achieve high gate doping connection between n + poly and p + poly (inter-diffusion of impurities between n + and p + poly) 23

Doping of polysilicon n + gate doping with S/D implant Phosphorus gives the best gate doping: very high solubility, high diffusion, high activation but: too high diffusion for source/drain implant Arsenic more complicated: lower diffusion constant, lower activation getters at the Si/SiO 2 interface; evaporates de-activates in 700-800ºC thermal treatments Antimony: too low solubility (4x10 19 cm -3 at 1000ºC) 24

p + gate doping with S/D implant Indium: Too low solubility (< 10 19 cm -3 ) Diffuses through gate oxide ( indium penetration ) Boron: The only option (either with B or BF 2 implant) Risk of boron penetration (since 0.25 µm CMOS) Clustering above solubility limit: problematic de-activates in 700-800ºC thermal treatments Gate depletion V G = V FB V G > V FB V G >> V FB V G >>> V FB channel n + gate Ionized (activated) As atom Free electron n + poly gate for NMOS: gate depletion is inevitable Lower capacitance less current 25

Boron penetration Gate oxide [B] (cm -3 ) 10 21 10 20 10 19 10 18 10 17 polysilicon monosilicon as-implanted proper activation boron penetration depth Gate doping > 10 20 cm -3 Channel doping << 10 19 cm -3 Slight boron penetration dramatic V T shift Solutions: reduce thermal steps, replace gate oxide... PMOS S/D extension implant 26

Extensions: purpose and requirements Suppress short channel effects Add series resistance (good for 0.25-0.8 µm technology, LDDs) Brews Law: L min [ x j t ox (W s + W d ) 2 ] 1/3 Criteria for shallow junctions: Junction depth Treated in the following slides Sheet resistance Good diode operation Junction profile steepness Uniformity, reproducibility Low defect density (residual crystal damage) CMOS compatible (materials, thermal budget) ITRS scaling of shallow junctions Junction depth (nm) 70 60 50 40 30 20 10 Sheet resistance (Ω/) 800 700 600 500 400 300 200 100 PMOS NMOS 0 0 0.18 0.13 0.09 0.06 0.18 0.13 0.09 0.06 CMOS generation (µm) CMOS generation (µm) 27

Sheet resistance Impurity concentration Depth profile x j Source/drain Channel Depth Sheet resistance R s depends on the concentration of free carriers C(x) and on the mobility of these carriers µ(c): x=x j R s = 1 / q C(x) µ(c) dx x=0 (approximation for not-too-steep profiles) Aim for high concentration; junction depth is imposed by generation. Steep tail at fixed x j lower resistivity! Junction depth Definition: junction depth (x j ) = metallurgical junction: the depth where the n-type concentration equals the p-type concentration. Determination of junction depth: SIMS (or SRP). Impurity concentration Source/drain Channel Steepness is important; but also the proper activation of the peak (should be below the solubility limit at the anneal temperature) x j Depth 28

B and As diffusion - example Data: Philips Research B concentration (cm -3 ) As concentration (cm -3 ) Depth (nm) Depth (nm) High T pushes junction - much stronger effect for Boron Shallow junction annealing Furnace anneals: typically 2 + -hour runs, slow ramp up and down too much TED too much diffusion Rapid thermal anneals Spike RTA anneals Laser anneals > 0.25 µm < 0.13 µm 0.13-0.25 µm Photo: Sematech 29

Rapid thermal anneal cycle temperature Anneal (0-60 seconds) Ramp-up (50ºC/s) Ramp-down (25ºC/s) First ramp-up Stabilisation 500-700 C time Pockets Large Angle Tilt Punch Through Stopper Also called: HALO latips well Pocket Punch through stopper implanted under tilt angle after gate formation Reduces depletion regions of source and drain Angle, dose and energy are critical parameters + low channel doping, low V T possible (low voltage technology) - Process control, more expensive implanter 30

Spacer formation Standard: TEOS or Si 3 N 4 deposition Plasma etch Spacer process flows Two-layer deposition (e.g. SiO 2 + Si 3 N 4 ) Plasma etch of top layer Optional etch of layer 2 31

PMOS - S/D implant Source/drain implant Purpose: S/D implant adds impurities to the shallow junctions: lower sheet resistance deeper junction (convenient for salicidation) Gate doping Doping of the well contacts Optimization: Low sheet resistance of junctions and poly Low gate depletion - no boron penetration Good diodes; suppression of junction spiking Low salicide contact resistance 32

Activation anneal Last step of the MOS front-end fabrication Activation of: gate, source and drain implants High temperature required for good activation Short time required for suppression of diffusion Therefore: again, Rapid Thermal Anneal Optimization of this step is truly process integration Fabrication after this anneal can influence activation: de-activation further diffusion (dr. R.A.M. Wolters ) MOS front-end Further reading S. Wolf - The submicron MOSFET F. Pierret - Field effect devices A. S. Grove: Physics and technology of semiconductor devices, John Wiley & sons The Technology roadmap for semiconductors: http://public.itrs.net A dictionary of semiconductors: http://www.sematech.org/public/publications/dict/index.htm Nice 3D view of transistor fabrication and operation: http://www.micro.magnet.fsu.edu/electromag/java/transistor/index.html http://entcweb.tamu.edu/zoghi/semiprog/index1.htm Many interesting links at www.casetechnology.com/links.html 33

Closing remarks MOS technology changed a lot over the years CMOS front-end-of-line technology gets complex many new materials tight process windows incredible pace of innovation Scaling down to 30 nm feasible Many challenges for research! 34