WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

Similar documents
Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Processing guidelines

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

RIE lag in diffractive optical element etching

micro resist technology

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

纳米压印技术最新进展. Obducat Technologies AB., Sweden Gang Luo,

NanoSystemsEngineering: NanoNose Final Status, March 2011

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Creating Greater Capacity on Smaller Spaces

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

HYPRES. Hypres MCM Process Design Rules 04/12/2016

Nano-imprinting Lithography Technology І

Step and Flash Imprint Lithography for sub-100nm Patterning

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A new method to fabricate micro-structured products by. using a PMMA mold made by X-ray lithography

Thin. Smooth. Diamond.

Micro & nanofabrica,on

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Lecture Day 2 Deposition

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Lecture 22: Integrated circuit fabrication

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Positive Photoresists

Optimizing spray coater process parameters

EECS130 Integrated Circuit Devices

micro resist technology

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Photolithography I ( Part 2 )

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Mold Fabrication for 3D Dual Damascene Imprinting

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Superionic Solid State Stamping (S4)

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Lab #2 Wafer Cleaning (RCA cleaning)

5.8 Diaphragm Uniaxial Optical Accelerometer

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Supporting Information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Silicon Manufacturing

4/10/2012. Introduction to Microfabrication. Fabrication

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Large-area patterning by roller-based nanoimprint lithography

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Large Area Functional Surfaces By Roll-to-Roll Nanoimprint Lithography Project: APPOLO

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Chapter 3 Silicon Device Fabrication Technology

Intel Pentium Processor W/MMX

Module 12: Nano Imprint Lithography. Lecture 16: Nano Imprint Lithography 1

A Novel Extrusion Microns Embossing Method of Polymer Film

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Fabrication and Layout

Applied Research for Vacuum Web Coating: What is Coming Next?

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Controlled Growth, Patterning and Placement of Carbon Nanotube Thin Films

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Vacuum casting, a new answer for manufacturing biomicrosystems

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Technical Data Sheet Technisches Datenblatt

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

TSV Interposer Process Flow with IME 300mm Facilities

Macroscopic Arrays of Block Copolymers with Areal Densities of 10 Terbit/inch 2 and Beyond

Fabrication Techniques for Thin-Film Silicon Layer Transfer

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers

Supporting informations

TEMPERATURE-DEPENDENT REFRACTIVE INDICES OF OPTICAL PLANAR WAVEGUIDES

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Fabrication of sawtooth diffraction gratings using nanoimprint lithography

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Fabrication Of Metallic Antenna Arrays Using Nanoimprint Lithography

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

Creating Greater Capacity on Smaller Spaces. Nanofabrication and Its Impact on Sensor Manufacturing

Flow behaviour of thin polymer films used for hot embossing lithography

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Precise Ion and Electron Beam Processing for Nano-Structuring

3. Overview of Microfabrication Techniques

Process Flow in Cross Sections

FABRICATION of MOSFETs

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Micropatterning and casting PEDOT-PSS /DMSO layers

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Cellulose Nanofiber Composite Substrates for Flexible Electronics

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Lecture 10: MultiUser MEMS Process (MUMPS)

Transcription:

DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding from the EU's H2020 framework programme for research and innovation under grant agreement n. 654360

PROJECT DETAILS PROJECT ACRONYM NFFA-Europe GRANT AGREEMENT NO: PROJECT TITLE NANOSCIENCE FOUNDRIES AND FINE ANALYSIS - EUROPE FUNDING SCHEME 654360 RIA - Research and Innovation action START DATE 01/09/2015 WP DETAILS WORK PACKAGE ID WP7 WORK PACKAGE TITLE JRA2 Research on High Precision Manufacturing WORK PACKAGE LEADER Christian David (PSI) DELIVERABLE DETAILS DELIVERABLE ID DELIVERABLE TITLE D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE DESCRIPTION The focus of the deliverable is analysis of different approaches in fabrication of nanoimprint stamps for a reliable replication of sub-10 nm features. It includes EBL-based patterning and BCP-lithography, copying of hard Si stamps into intermediate polymer working stamps and evaluation of high-resolution pattern transfer into a substrate by RIE, ALD or other techniques. One of the outcomes of the work should be a recommendation regarding the best methods of NIL stamp fabrication with feature sizes below 10 nm. EXPECTED DATE M18 28/02/2017 15 AUTHOR(S) Ivan Maximov, Mariusz Graczyk (Lund University, Sweden) Andrea Cattoni, Dominique Mailly (C2N, France) Benedikt Rösner, Christian David (PSI, Switzerland) PERSON RESPONSIBLE FOR THE DELIVERABLE Christian David (PSI) NATURE R - Report DISSEMINATION LEVEL P - Public PP - Restricted to other programme participants & EC: RE - Restricted to a group CO - Confidential, only for members of the consortium ESTIMATED INDICATIVE PERSONMONTHS (Specify) (Specify) 2

REPORT DETAILS ACTUAL SUBMISSION DATE 08/02/2017 hh.mm AM FOR MORE INFO PLEASE CONTACT Ivan Maximov (Lund University) NUMBER OF PAGES 15(right-click and select update the field ) Tel. +46703580258 Email: ivan.maximov@ftf.lth.se Version Date Author(s) Description / Reason for modification Status 0 03/02/17 Ivan Maximov Draft 1.1 06/02/17 Ivan Maximov Revision 1.2 08/02/17 Ivan Maximov Revision Choose an item. Choose an item. Choose an item. Choose an item. Contents Executive Summary 4 1. Introduction 4 2. Nanoimprint process and NIL stamp fabrication methods 5 2.1 Standard IPS-STU process and its limitations 5 2.2 EBL-based fabrication of Si master stamps 7 2.2.1 Negative stamps 7 2.2.2 Positive stamps 7 2.3 BCP-approach for master stamp fabrication 9 3. Results and discussion 10 3.1 Polymer stamps from the negative masters 11 3.2 Polymer stamps from the positive masters 12 3.2.1 Method A 12 3.2.2 Method B 13 4. Conclusions/Outlook 14 References 15 3

Executive Summary We studied different approaches in fabrication of nanoimprint stamps with ultra-high resolution in the 10 nm range and compared their performance. As the main method of nanoimprint replication we used a combined thermal and UV-imprint process with optimum conditions and materials to demonstrate 20 nm pattern transfer to silicon oxide substrate. Negative master stamps are preferred as they require a single replication step to make intermediate polymer stamp (IPS). Electron beam lithography and reactive ion etching have a decisive role to produce a master stamp with sufficiently sharp shapes required for sub-10 nm resolution during the replication of the IPS. A reliable pattern transfer into a hard substrate depends critically on thickness of the residual layer after nanoimprint. 1. Introduction The current deliverable is a part of the efforts of the Task 7.2 Development of pattern transfer and replication techniques and sub-task 7.2.2 Replication of nanostructures on the sub-10 nm level with participation of LUND, CNRS (now C2N) and PSI. Nanoimprint lithography (NIL) was used in the current work to demonstrate the replication resolution and limitations of pattern transfer from NIL stamp into substrate. NIL is generally recognised as a high-resolution, high- Figure 1: Schematic illustration of Nanoimprint Lithography (NIL) and pattern transfer steps [1]. throughput lithographic method [1], which allows parallel replication of features with sizes of few nanometers or less over wafer-scale areas, Figure 1. Since nanoimprint is a generic replication technique, where the stamp features are copied into a suitable thermoplastic or UV-sensitive polymer, its performance entirely depends on the stamp properties. This is especially true for the ultra-high resolution (10 nm or less) of nanoimprint process, when methods of NIL stamp fabrication can determine the final results. In the present work we focused on investigation of different approaches of NIL stamp fabrication to realise stamp features of the order of 10 nm and on analysis of imprint experiments. The master stamp patterning was made by high-resolution electron beam lithography (EBL) or block copolymer (BCP) lithography combined with dry etching. Intermediate working stamps were produced by a replication from the master originals. Of special interest was a capability of the imprint process to transfer the ultra-high resolution pattern not only into a resist layer, but also into the underlying 4

hard substrate. For this purpose, we used such complimentary techniques, e.g. reactive ion etching (RIE), plasma ashing, lift-off and others. 2. Nanoimprint process and NIL stamp fabrication methods 2.1 Standard IPS-STU process and its limitations All nanoimprint experiments were performed in Lund using a 6-inch imprinter from Obducat AB, Sweden. The nanoimprint technique we used was based on a standard IPS-STU (Intermediate Polymer Stamp - Simultaneous Thermal and UV-imprint) technology [2], illustrated in Figure 2. Figure 2: The basic principle of Obducat s IPS-STU technology [2]. In the present work we used the master stamps made by EBL and RIE. To avoid problems with bending of sub-100 nm stamp features, typical for the standard IPS material, we used commercially available OrmoStamp polymer for replication of IPS. The IPS-STU process, where the polymer stamp is used only once, provides very good control of the imprint due to the low defectivity and flexibility of the polymer film, which can compensate some imperfections of the substrate, e.g. waviness and the presence of particles. However, we found that for feature sizes below 100 nm the mechanical stability of the standard IPS material is not sufficient for a defect-free pattern replication. In addition, the hot embossing process of replication of the IPS from the Ni master stamp (T=160 o C, P=50 bar, t=120 s) may lead to defective shape of the features in the polymer material, that result in transfer of defects into the resist and substrate, Figure 3. Insufficient mechanical stability of the standard IPS material during the simultaneous thermal and 5

Figure 3: SEM images of IPS surface after the hot embossing process (T=160 o C, P=50 bar, t=120 s). Arrays of pillars with diameter <100 nm after separation from the Ni master stamp: the left image shows good structures, while the right image, taken at the edge of the stamp, illustrates the defective structures with smaller height and some bending of the pillars. UV-imprint (STU) imprint in combination with imperfection in the IPS thermal replication process often results in distortions in imprinted features in the resist. Figure 4 illustrates this by showing elongated circles after a standard IPS-STU process in a double-layer LOR/TU2 resist, O 2-plasma ashing, evaporation of 30 nm thick Au layer and lift-off. The Ni master stamp had 100 nm holes separated by a distance of 1 µm, which produced corresponding pillars in the IPS replica. Relatively long distance between the pillars and their small diameter resulted in their bending leading to the observed elongated shape of the Au dots. Our experiments using other Ni master stamps with holes 150-200 nm diameter and a smaller pitch of 0.5 µm indicated no significant bending effects after the imprint process with identical conditions. The above-mentioned experiments showed a limited stability of the standard IPS-material for imprinting <100 nm features and led us to two conclusions: (1) the IPS replication must be performed using a low temperature UV-process and (2) material of the IPS replica must be mechanically more stable as compared to a standard IPS-polymer in order to avoid deformation of the stamp features. Figure 4: An example of elongation of the resulting Au dots after STU-imprint using an IPS with 100 nm pillars separated by a pitch of 1 µm. Small diameter of the pillars and large distance between them resulted in elongated shape of the holes in LOR/TU2 double layer resist. The final Au dots are somewhat larger than 100 nm due the oxygen plasma ashing step. Direct use of hard stamps (e.g. EBL-patterned Si wafers or electroplated Ni foils) was not seriously considered in the current project due to the following limitations: (1) high manufacturing price, (2) thermal NIL process only, (3) difficulties of stamp separation. At the same time Si master stamps 6

were tested in thermal nanoimprint experiments to demonstrate high-resolution pattern transfer into a resist layer. 2.2 EBL-based fabrication of Si master stamps High-resolution electron beam lithography (EBL) was used for fabrication of Si stamps, which served as master stamps to produce IPS copies used in our nanoimprint experiments. In order to achieve high resolution in nanoimprint lithography (of the order of 10 nm), the polymer stamp must be of positive polarity, i.e. to have pillars or other features sticking from its surface. Such positive stamp will displace a small amount of resist during the imprint process, making it easier to replicate the sub-10 nm features. This means that the Si master stamp must have a negative polarity with holes or other cavities made in the substrate. Fabrication of such stamp is much more challenging task, as it involves high-resolution reactive ion etching or other methods of material removal. However, the positive Si stamps can be used to make positive IPS copies, which are replicated twice, IPS to IPS. In the current project we have used both approaches to evaluate their applicability in the sub- 10 nm pattern transfer. 2.2.1 Negative stamps The negative Si master stamps (ISOBEL samples) were made by EBL-exposure in Lund, using a 50 kv Voyager (Raith GmbH) EBL tool and a high-precision reactive ion etching (RIE) process at C2N, France. Positive tone resist was used during the EBL-exposure and as a mask in the RIE process. The pattern included lines 1000, 200 100 and 50 nm wide and hexagonal array of holes with diameter of 80 90 nm and pitch of 1 µm. After the etching, the resist residues were removed by oxygen plasma and the Si stamp was treated in Fiji F200 atomic layer deposition (ALD) tool to create antisticking layer consisting of 0.4 nm Al 2O 3 layer and a FDTS monolayer. The main purpose of this negative stamp was to test an alternative polymer (OrmoStamp [3]) in the new IPS replication process. 2.2.2 Positive stamps The positive Si master stamps were patterned using 100 kv EBL tools at PSI, Switzerland and C2N, France. In both cases, negative tone HSQ resist spin-coated on a 2-inch Si wafer was used for the EBL exposure. Arrays of lines with different widths and dots were produced on the substrate. To save the EBL-exposure time, only small areas were patterned in the EBL, an example of such patterning, including marks (crosses) to find the objects is shown in Figure 5. We used two different approaches to make the Si master stamps: Method A, used by PSI: exposure of sufficiently thick (at least 30 nm) HSQ resist and its development to create pillars and ridges with lateral size of 10-20 nm, that can be used directly for their replication in IPS. In this approach HSQ converts into a stable SiO x after e-beam exposure and development in AZ351. The master stamp thus consists of Si substrate and silicon oxide features made on top of Si. Method B, used by C2N: the HSQ resist was EBL-exposed and developed to make SiO x masks 8-20 nm in lateral size for RIE of Si substrate. The additional RIE step in a F-based chemistry allowed us to control the height of the features by adjusting the etching time. After silicon oxide mask removal (an option), the NIL stamp consists entirely of Si. Regardless of technology, the master stamps were later subjected to antisticking treatment using ALD-deposited Al oxide layer and FDTS monolayer coverage. This treatment was performed in Lund prior to the nanoimprint replication experiments. 7

Cross, 500 µm, linewidth 10 µm Cross, 200 µm, linewidth 5 µm Cross, 100 µm, linewidth 2 µm EBL exposure area, 500x500 µm 2 Figure 5: Schematic of one of the layouts for EBL exposure at PSI, Switzerland. The total exposure area used during the EBL patterning was 500x500 µm 2, these four high-resolution exposure fields were placed in the center of squares 5x5 mm 2, containing a number of crosses for easy identification of exposure fields. Figure 6: SEM images of SiO x lines and dots made by 100 kv EBL at PSI, Switzerland (Method A). The HSQ resist features were exposed with the beam current of 500 pa and 200 µm aperture for the line structures, while the dots were formed by 5 na beam current, 200 µm aperture and step size corresponding to the desired pitch. 8

Figure 7: SEM images of Si pillars with diameter of 8 nm and pitch 40 nm made by 100 kv EBL and RIE at C2N, France (Method B). Pillars with diameter up to 20 nm and pitches of 30 and 50 nm were also produced. EBL conditions: HSQ 2% 2500 rpm, no baking, exposure: single spot mode, 2.5 na, development: AZ400K (1:3) 20s; post-annealing: 15 min at 430 C. The RIE conditions: SF 6/CHF 3, work P=7 mtorr, power: 15 W, bias: 175V (typical). Resulting pillars had aspect ratio of 2 and a conical shape. 2.3 BCP-approach for master stamp fabrication Ordering in block copolymers (BCP) can be used for creating regular patterns with sub-10 nm features and very small pitch (L 0), which depends on Flory-Huggins interaction parameter (χ). Figure 8 shows PS-b-PMMA BCP with L 0=35 nm: the BCP is organized in a hexagonal order with vertical 15 nm diameter PMMA cylinders. The BCP pattern can be transferred into a hard substrate by selectively removing one of the blocks and then using another polymer as e.g. etch mask. However, etch selectivity between the two blocks usually is not very high, so different methods of selective modification of one of the blocks can be used to improve the selectivity. One of such methods is called selective infiltration synthesis (SIS), that can be realized in atomic layer deposition (ALD) equipment. Exposure of PS-b-PMMA BCP to tri-methylaluminum (TMA) results in a selective reaction of TMA molecules with PMMA only, which leads to formation of Al-oxide masks, suitable to use in RIE of Si substrate [4]. Schematic illustration of this approach is shown in Figure 9. L 0 = 35 nm Figure 8: An example of ordered BCP system PS-b-PMMA, supplied by PRUAB, Barcelona, Spain. Lund University and PRUAB, Spain, work together to implement the BCP-approach within Tasks 7.2 and 7.3. One of the key issues is the stability of AlO x masks during RIE: we found that the BCP ordering breaks down after oxygen ashing or RIE, which can be explained by insufficiently deep 9

infiltration of TMA in polymer. Those plasma treatments may result in removal of a polymer layer under the Al oxide mask, leading to its movement on the Si surface. This effect is especially strong in BCP with vertial cylinders, as they are separated from each other and less stable compared to lamella or finger-print structures. PS-b-PMMA self assembly Al 2 O 3 Infiltration PS selective etching Pattern transfer to Si Figure 9: The basic principle of selective infiltration synthesis (SIS) to create stable Al-oxide masks for pattern transfer from BCP into Si substrate. Figure 9b: Infiltrated PS-b-PMMA (sample L30 from PRUAB, Barcelona, Spain). The SIS process is not optimized yet: the infiltration results in formation of unwanted dots in the PS-block (white dots in the black background, the right image). 3. Results and discussion As mentioned earlier, one of the key obstacles in the IPS-STU imprint process to reach high resolution was insufficient mechanical stability of the standard polymer used in IPS technology. Bending of the sub-100 nm polymer features during the imprint process severely limits the resolution of the imprint process, making it impossible to produce features of the order of 10-20 nm. So we developed a new IPS process, that is based on a commercially available OrmoStamp polymer [3] and compared NIL stamps made by various methods in terms of their performance. 10

3.1 Polymer stamps from the negative masters Negative Si master stamps (ISOBEL series) were used for replication into OrmoStamp polymer using a room temperature process and UV-flood exposure for 120 s in Suss MJB4 contact mask aligner. After removal of the glass plate with OrmoStamp and separation of the Si master, the polymer stamp is baked at a hot plate to make it more stable. Prior to use, the OrmoStamp IPS must be anti-stick treated using the above-mentioned procedure. Figure 10 shows the results of the replication from the negative ISOBEL master into the OrmoStamp polymer: no bending of the structures as small as 50 nm has been observed after separation of master and polymer. This IPS was used to transfer the patterns into the standard TU7 resist normally used in combination with LOR layer in the well-established NIL lift-off process. Since the OrmoStamp is transparent in UV-region down to 300 nm [3], we used a modified UV-STU process using 150 nm thick TU7 resist spin-coated on SiO 2/Si substrate, for the imprint experiments. Replication of both 50 nm wide lines and 80 90 nm diameter pillars to TU7 resist was of high fidelity. After the imprint and separation of the stamp from the substrate, the TU7 resist residues were ashed away in an oxygen plasma followed by a CHF 3/CF 4 RIE of SiO 2 for pattern transfer into the silicon oxide, Figure 11. Figure 10: SEM images of OrmoStamp IPS surface showing 50 nm wide lines (left) and 80-90 nm diameter pillars. No signs of bending have been observed on the polymer stamp, as compared to the standard IPS material. Figure 11: Images of the imprinted 80 nm diameter pillars into TU7 resist (left) and top SEM view of the etched silicon oxide layer using TU7 as the etch mask and F-based RIE process (right). The TU7 resist was removed after the etching process. The pattern transfer from the OrmoStamp to SiO 2 was performed with high quality. 11

The results obtained with OrmoStamp IPS fabricated from the negative Si master stamps showed a successful imprint to TU7 resist layer and good pattern transfer in silicon dioxide with resolution of 50 nm. Compared to the standard IPS material, the OrmoStamp polymer delivered much better results during imprint into TU7 resist. Also, a single replication process is a big advantage for the negative master, since it minimizes the number of generated defects. However, fabrication of a negative Si master with holes or other structures can be a challenge, since it requires very high quality reactive ion etching to make holes with size of the order of 10-20 nm. One of the crucial parameters can be an aperture effect in RIE, which may lead to different etch depth for features of different sizes during the fabrication of the negative master stamp. 3.2 Polymer stamps from the positive masters Positive Si master stamps are much easier to fabricate with sub-10 nm resolution, both using Methods A and B (see section 2.2.2). At the same time, replication of the intermediate polymer stamp in a correct polarity requires a two-step IPS process, which may limit the resolution of the imprint and create additional defects. 3.2.1 Method A The SEM images of the positive Si master made using direct exposure of HSQ-negative tone e-beam resist are shown in Figure 6. Figure 12 illustrates the first copy of the Si master into the OrmoStamp polymer with 20 nm features, both lines and pillars. The replication conditions were similar to the replication of the negative stamps described earlier in section 3.1. Figure 12: The first OrmoStamp replication of the positive master stamp fabricated using EBL exposure of HSQ (PSI). The 20 nm wide lines and holes are successfully replicated, although some defects are visible (e.g. discontinuity of the lines and cracks between the holes due to incomplete filling of the polymer). The HSQ resist is known to have adhesion problems at some surfaces and although we used Si, which works reasonably well with this resist, after the first replication, some of the SiO x pillars were broken, as illustrated in Figure 13, left. The length of the broken pillars was about the same, that confirms the assumption that they were cut at the bottom, probably during the separation from the IPS film. The broken pillars can probably be removed from the OrmoStamp surface by an HFsolution, but the Si master can no longer be used. The HSQ-defined pillars have good aspect ratio and sharp edges, which contribute to successful replication of lines and pillars to the IPS with resolution of at least 20 nm. At the same time, as expected, the second IPS-IPS copy accumulates even more defects, see Figure 13, right. 12

For pattern transfer a second copy of the OrmoStamp with 20 nm grating has been imprinted into 52 nm thick TU7 later to test the pattern transfer. The TU7 resist was imprinted at 65 C and pressure of 20 bar with UV-illumination to cross-link the resist. After separation of the IPS stamp and substrate, the TU7 resist was ashed in O 2-plasma to remove the polymer residues and underlaying SiO 2 layer was etched for 60 s in a RIE process at 50 mtorr, using a CHF 3/CF 4 mixture as reactive gas. The etching depth was also about 20 nm. Figure 13: Left: broken SiO x pillars after separation of OrmoStamp and Si master. Insufficiant adhesion of silicon oxide to Si substrate is believed to be the reason behind the defects. Right: a second copy (IPS-IPS) with large defect density. Note: apparent low height of the pillars is due to melting effects during SEM inspection. Figure 14: Left: top view of the OrmoStamp IPS with 20 nm wide lines and a cross-section of etched silicon dioxide using imprinted TU7 resist as an etch mask (right). The width and depth of the etched lines is about 20 nm. We have demonstrated not only a successful double replication of the positive Si master stamp into the OrmoStamp, but also a high-resolution pattern transfer of 20 nm imprinted features into SiO 2 substrate by RIE. The double replication (IPS-IPS) process, as expected, increases the defect density of the NIL stamps, but the pattern transfer worked reasonably well even for the 20 nm features. There is no reason why the same process will not work even for sub-10 nm structures. 3.2.2 Method B This approach uses SiO x mask made by e-beam exposure of HSQ, to etch Si substrate. Although it was possible to produce pillars with diameter of down to impressive 8 nm, the shape of the structures was a bit conical with an aspect ratio of about 2. A double replication process in OrmoStamp with conditions described earlier, resulted in fairly shallow pillars, with rounded corners, see Figure 15. 13

It is clear that highly anisotropic reactive ion etching process is the key ingredient to form structures with sharp corners and high aspect ratio required for successful replication of the Si master stamps with 10 nm features. The biggest advantage of this method is its mechanical stamp stability: no adhesion issues compared to Method A. Figure 15: A second copy (IPS-IPS) of the OrmoStamp IPS with replicated pillars. Due to conical shape of the etched Si pillars, the polymeric stuctures become fairly shallow. The method, however, may produce mechanically very stable Si master stamps with long life-time. 4. Conclusions/Outlook As part of sub-task 7.2.2 Replication of nanostructures on the sub-10 nm level, we explored some methods of nanoimprint stamp fabrication, which can potentially be used in the ultra-high resolution NIL techniques. We made succesful evaluation not only of replication of 20 nm stamp features into an imprint polymer, but also demonstrated 20 nm pattern transfer into silicon oxide layer by RIE. Below are the preliminary conclusions: 1. THE MODIFIED IPS-STU NIL PROCESS WITH ORMOSTAMP AS IPS DEMONSTRATED RESOLUTION OF 20 NM, 2. POLYMER STAMPS SHOULD BE PREFERRED BEFORE HARD STAMPS DUE TO COST AND DEFECTIVITY REASONS, 3. DOUBLE REPLICATION OF IPS FROM A POSITIVE MASTER STAMP RESULTS IN TOO MANY DEFECTS, 4. NEGATIVE STAMP WITH A WELL-DEFINED ETCHED FEATURES IS PREFERRED AS A MASTER FOR IPS, 5. HIGH-RESOLUTION DRY ETCHING PROCESS IS ONE OF THE MOST CRITICAL STEPS IN MASTER FABRICATION. As the outlook, one can mention further development of the BCP technology, where high-resolution RIE can be used for pattern transfer. Alternatively, a combination of EBL patterning, Ir ALD with Ni electroplating can be an interesting method of fabrication of high-resolution NIL stamps. In this process, the Ni-master is produced with negative polarity and the IPS working stamp will be replicated in a single process. 14

References [1] Schift H; Nanoimprint lithography: An old story in modern times? A review; J. Vac. Sci. Technol. B 26 458 80, 2008 [2] Obducat AB, Sweden, http://www.obducat.com [3] Micro resist technology GmbH, Germany, http://microresist.de [4] Cummins C, Ghoshal T, Holmes J D and Morris M A, Strategies for Inorganic Incorporation using Neat Block Copolymer Thin Films for Etch Mask Function and Nanotechnological Application; Adv Mater 28 5586 618, 2016 15