International Finance Corporation Environmental, Health, and Safety Guidelines for Semiconductors & Other Electronics Manufacturing

Similar documents
Equipment That Needs A Permit From The SCAQMD

EXHAUST MANAGEMENT PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST).

International SEMATECH Technology Transfer # A-TR

UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT

EPA s 33/50 Program Company Profile

Preferred. Steel, Epoxy Powder Coated. Satisfactory. Limited

06. Electroplating Industry

Metal Finishing Application

Removing Heavy Metals from Wastewater

POLLUTION PREVENTION MEASURES FOR THE AEROSPACE INDUSTRY

Author: Anthony Jones Presented by: Sarah Gibson

United States Environmental Protection Agency Research and Development. Project Summary. Jacqueline M. Peden

Laser EX 50 Product Code: Revised Date: 03/17/2009. Laser EX 50

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

Air Pollution Technology Fact Sheet

Laser ACB 50 Product Code: Revised Date: 03/17/2009. Laser ACB 50

Dynamic Pollution Prevention Proaram

REACTION TANKS ADVANCED MEMBRANE FILTRATION

Parylene: what is it?

Coke Manufacturing. Environmental Guidelines for. Multilateral Investment Guarantee Agency. Industry Description and Practices. Waste Characteristics

IA-01 Insignificant Activities Required to be Listed

4 SPRINGS 6 SPRINGS 8 SPRINGS 10 SPRINGS 12 SPRINGS 14 SPRINGS

Uniform Environment, Safety, and Health (ESH) Specification for Equipment Procurement (v.1.3)

TEXTILE INDUSTRY AND ENVIRONMENT. W.J.K.Dushyanthi Ranpatige Research Officer Industrial Technology Institute

DEPARTMENT OF DEFENSE STANDARD PRACTICE

Questionnaire (Petrochemical Industry) QUESTIONNAIRE FOR THE PETROCHEMICAL INDUSTRY (SECTOR RELATED QUESTIONS)

Technical Design by AMCEC, USA. Solvent Recovery Solutions For Profitability with Compliance

Emission Estimation Technique Manual

For ethidium bromide waste that is generated at Harvard, each waste stream is to be managed or accumulated as described below.

Metallization of MID Dec 2 010

Thermal Evaporation. Theory

Chapter 3 Silicon Device Fabrication Technology

Air Emission Factors for Metals Finishing Operations

SUMMARY OF FEDERAL PRETREATMENT STANDARDS CENTRALIZED WASTE TREATMENT CATEGORY. Within U.S.: 165

Hazardous Waste Section. Hazardous Waste Determinations

ZERO Pollution Discharge

Springfield, Massachusetts (413)

Technical Specification

CS CLEAN SYSTEMS AG Exhaust Gas Treatment Products. Peter Kaiser Sales Manager.

SINTERED WIRE MESH.

Product Information CHEMGLAZE B 9942 A/B. Coating for Casting Pits. Easy Application Chemglaze B 9942 A/B will bond to damp and wet surfaces.

Petroleum Refining. Environmental Guidelines for. Multilateral Investment Guarantee Agency. Industry Description and Practices. Waste Characteristics

Source Reduction of Chlorinated Solvents in Electronics Industry

Atomic Layer Deposition(ALD)

Air Pollution Control For

BHS-FILTRATION INC. BHS VACUUM BELT FILTER, CANDLE & PRESSURE PLATE FILTER TECHNOLOGIES FOR BIO-ENERGY APPLICATIONS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Institute of Materials Finishing PREFACE TO THE 2010 EDITION 3 INTRODUCTION TO DISTANCE LEARNING 4 MODULE OBJECTIVES 7

Precision in detail. English

Chlorinated and Brominated Solvents Exposure Study. Gary Hatcher, American Electric Power

AIR QUALITY MANUAL METAL FINISHING INDUSTRY. for the VJL TECHNOLOGIES (PTY) LTD

Educational. Publication. An-' Sponsored

REVIEW OF THE NATIONAL POLLUTANT RELEASE INVENTORY (1995)

Material Tested To-Date

Texas Commission on Environmental Quality (TCEQ) One-Time Shipment Request for Texas Waste Code For Shipment of Hazardous and Class 1 Waste

Electroplating, Anodizing & Metal Treatment Hand Book

Guidelines for Vishay Sfernice Resistive and Inductive Components

05030 METAL FINISHES/09800 SPECIAL COATINGS COLD GALVANIZING COMPOUND

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

TOTAL WATER MANAGEMENT IN THE STEEL INDUSTRY. By N. Ramachandran, Ion Exchange (India) Ltd

Basic Environment,Health & Safety Guidelines for Foundries

Guide to Safe Handling & Storage of Hazardous Materials

USER MANUAL ON PROFESSIONAL ELECTROCHEMICAL STATION EPS-30A

WELDING Topic and Contents Hours Marks

BOMBARDIER AEROSPACE LEARJET ENGINEERING SPECIFICATION

Institute of Materials Finishing. Introduction to the Surface Finishing Foundation Certificate

Key Points on Point of Generation. Julie Marks, PE (573)

#KT2QNNWVKQP6GEJPQNQI[(CEV5JGGV

Hazardous Waste Initial Training

Troubleshooting. for. Printed Board. Manufacture. and Assembly IPC PE-740. Revision A December Developed by THE INSTITUTE FOR INTERCONNECTING

English version. Precision in detail

HAND BOOK OF ELECTROPLATING ANODIZING & SURFACE FINISHING TECHNOLOGY

27.0 SAMPLING AND TESTING METHODS

Prevention Strategies Design and Coatings

Microwave Plasma Processing

DuPont Riston Special FX Series - FX515

Radiator Maintenance

Barry Avenue Plating Inc. Chemical Processing

COLD SOLVENT CLEANING AND STRIPPING OPERATIONS (Adopted & Effective 5/23/07) (2) Any cleaning of application equipment is not subject to this rule.

MAX300-AIR PRODUCT NOTE. Ambient Air Monitoring Flare Gas Analysis Industrial Health and Safety. Environmental Mass Spectrometer

IPC-AJ-820A Assembly and Joining Handbook. The How and Why of All Things PCB & PCA

IPC-TM-650 TEST METHODS MANUAL

Fact Sheet OFFICE OF WASTE REDUCTION SERVICES

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

h Reclamation Center Lot Number List

To hold a large reserve of metal ions in the soluble form.

Zinc Silicate (Inorganic)

Drinking Water Corrosion Control and POU/POE Treatment

Ontario s 2012 Building Code Division B, Part 7 Ontario Regulation 332/12

SPERC fact sheet. Industrial use of coatings in installations with wet scrubber for collection of overspray

an ISO9001 company TECHNICAL INFORMATION FOR TGS2600 Technical Information for Air Quality Control Sensors

Bob Willis Process Guides

SECTION 10 WELDING AND CUTTING

DuPont MX5000 Series

The Leader in Oilfield Coating Technology ISO 9001:2008.

Following this guideline enables proper treatment of the relays during the critical phase in the relay life.

Electric Furnace Off-Gas Cleaning Systems Installation at PT Inco ABSTRACT

PIP PCEPA003 Process Analyzer System Field Installation Guidelines

BARING IT ALL. Coatings Removal Options Uncovered. by Wayne N. Schmitz

Compact Electro Plating Machine - CEPM-2A USER MANUAL

Transcription:

Alexander W Indorf Program Coordinator, Environmental and Social Development International Finance Corporation 2121 Pennsylvania Ave. NW Washington DC 2043 RE: International Finance Corporation Environmental, Health, and Safety Guidelines for Semiconductors & Other Electronics Manufacturing The Semiconductor Industry Association (SIA) and IPC the Association Connecting Electronics Industries are pleased to submit the following comments on the International Finance Corporations Environmental, Health and Safety Guidelines for Semiconductors and Other Electronics Manufacturing. SIA is a trade association representing over 95 companies responsible for over 85 percent of the semiconductor manufacturing capacity in the United States. IPC is an international trade association for the electronic interconnection industry, representing more than 2,200 member companies who manufacture printed circuit boards and electronic assemblies worldwide. SIA and IPC appreciate the work of the IFC in promoting cleaner and safer electronics manufacturing worldwide. We believe that these guidelines are an important part of that work and appreciate the opportunity to provide comments on the draft documents. Overall, the guidelines are concise and reflect a good general understanding of the electronics manufacturing industries. It is our pleasure to offer the following suggestions that we believe will improve the accuracy and usability of the guidelines. General Comments We would like to recommend that the guidelines be divided by the particular segments of the electronics manufacturing industry to which they apply. While semiconductors, printed circuit boards, and printed circuit board assemblies are all vital parts of the electronics industry, they are quite distinct operations. Each relies upon specific chemical processes and thus has many unique Environmental, Safety and Health (EHS)

aspects. We believe that further identification and alignment of issues specific to separate areas within these sectors would greatly benefit the users of this document by allowing users to more readily identify the portions of the guidelines which are relevant to operations under review. For that reason we have provided comments that indicate the applicability of each comment to each sub-sector described as Semiconductor, Assembly of subcomponents into an end product or Printed Circuit Board Assemblies (PCBA) which was formerly known as Printed Circuit Boards or Printed Wire Boards. Additionally we have attached a color-coded mark-up of the comments to assist you in identifying sub-sectors. Specific Comments Section 1.1 Hazardous Materials and Wastes 1 st paragraph. Spent deionized water and developers are incorrectly characterized as hazardous wastes. 1 st paragraph. Spent epoxy material is generated during the manufacture of printed circuit boards (PCBs) and in Semiconductor manufacturing and not assembly processes as incorrectly noted. Copper residue is not a waste product of the assembly process. Circuit boards are now printed, not wired. 1 st paragraph, 1 st bullet, 3 rd sub-bullet. Lead solder replacements are not environmentally benign. All metal solders have associated environmental issues including ecotoxicity of silver in the popular SAC (tin-silver-copper) alloy and increased energy usage for many of the lead free solders. 1 st paragraph, 2 nd bullet. The only cyanide plating solutions used in the printed circuit board industry are used for gold plating. Cyanides are not used in Semiconductor Chromic plating baths have not been used by PCB manufacturers since the 1980s and has never been used in the fabrication of semiconductors. 1 st paragraph, 4 th bullet. These primarily are used in the semiconductor and PCBA sectors. 1 st paragraph, 5 th bullet. PFOS is only used in Semiconductor This industry sector completed a voluntary agreement that should be cited and can be found at http://www.sia-online.org/pre_stat.cfm?id=294 The voluntary agreement is a global agreement that describes elimination of all but critical uses and requires incineration of all non-wastewater emissions that contain PFOS. 2 nd paragraph, fourth bullet, piping carrying hazardous materials should be designed also with low point drains, high point vents, and isolation valves every 100 feet maximum in order to avail in repairs of leaks or modifications in the system. IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 2

Emissions 1st paragraph. The opening of this applies only to Semiconductor manufacturing and several of the bullets underneath this paragraph only apply to other subsectors of electronics manufacturing as described below. 1st paragraph, footnote 3. The only glycol ethers used in the Semiconductor industry are propylene glycol ethers and their acetates. 2nd paragraph 1st bullet. This section only applies to Semiconductor 2nd paragraph 1st bullet, 1st and 2nd sub-bullets. These bullets only apply to Semiconductor 2nd paragraph 1st bullet, 3rd sub-bullet. Combustion applies to Semiconductor and PCBA 2nd paragraph 1st bullet, 4th sub-bullet. Plasma reactors are used by the Semiconductor industry sector. 2nd paragraph 1st bullet, 5thand 6th sub-bullets. Cold adsorbers, traps, filters and precipitators are used by the PCBA industry sector. 2nd paragraph 3rd bullet. Emergency release scrubbers are not essential to prevent uncontrolled releases. Most toxic gases are controlled in special cabinets that are scrubbed or scrammed to atmosphere after review of the concentration to insure that a safe release can be made. Perfluorocarbon Compounds and Other Greenhouse Gases 1 st paragraph and 3 rd paragraph. PFC s are used in the Semiconductor sector. Add C 3 F 8 to the list of PFC s. The Semiconductor industry has initiated a voluntary program to reduce the emissions of PFC s. Information regarding that agreement can be found at http://www.sia-online.org/pre_stat.cfm?id=58 3 rd paragraph. PFOS is actually not classified as a PFC. It belongs to a separate class of chemistries. Also, to state that PFOS can be released to the air through its use in Semiconductor manufacturing is incorrect. There are no expected or measured emissions of PFOS from this use. Acid Fumes 1 st paragraph. This section applies only to PCBA and Semiconductor 1 st paragraph 3 rd bullet. Operations in PCB manufacture that might generate acid fumes include cleaning, surface preparations, cupric chloride etching, and plating. Acid fumes are not generated during drilling or resist stripping, which is carried out with an alkaline process. Semiconductors do not uses of acid sprays are only IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 3

performed in enclosed interlocked chambers that are required to be purged to a scrubbed exhaust system prior to opening. Volatile Organic Compounds These are used in PCBA and Semiconductor Dust Drilling and routing processes during PCB manufacture generate significant amounts of dust. Dusts are not created during PCBA or Semiconductor Wastewater Organic Compounds The techniques described for processing small amounts of organics in wastewater primarily applies to the PCBA and Semiconductor industries. 1 st paragraph. After the words ether-based, please add resists, isopropyl alcohol, and tetramethylammonium hydroxide and delete or ether based solvents and replace almost all with a number of. Replace the rest of the Organic compounds section and bullets with a new paragraph reading Almost all of these solvents are drained to segregated solvent drains and the small quantities that do result in the wastewater are so dilute that they typically do not require treatment. Metals Acids and Alkalis This section applies to the PCBA and Semiconductor industries. 1 st paragraph. Chromium is no longer used and the sentence referencing it should be deleted. Cyanide Copper cyanide plating baths are not used in the printed circuit board or semiconductor industries Also, we recommend adding a statement that best practice dictates that cyanide bearing waste water treatment systems must have: a) Properly designed ventilation b) Ventilation system must be equipped with fume scrubber capable of handling a cyanide load that could occur from a mistreatment or equipment malfunction to prevent the release of cyanide to the atmosphere. Suspended Solids IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 4

This section applies to the PCBA and Semiconductor industries. The types of solids generating processes are from Chemical Mechanical Polishing slurries, dicing of the wafers to separate the devices and prepare them for packing in frames and during the backgrind process that is used to reduce the thickness of the wafer prior to dicing. 1 st paragraph, 1 st sentence. Please add in front of the existing 1 st sentence If solids are at high enough concentrations to cause high levels of total suspended solids (based on the manufacturing sites permit requirements) any film residues and metallic particles (derived from.. Energy Consumption This is not specific to electronics and should be removed and added to the General Industry Guidelines. General Process Modifications This section is specific to the PCB manufacturing process only. We recommend adding another general recommended process modification for recovery technologies for waste rinse waters to return treated water to the process for reuse. 1.2 Occupational Safety and Health We recommend adding to the list of to safety hazards: Exposure to hazardous energy including: kinetic, electrical, pneumatic and hydraulic. Substrates This section is specific to Semiconductor This section should be clarified to identify correctly that the substrates are not hazardous but that the dust from the substrates may be hazardous. This should read that exposure to material released by a substrate during handling or mechanical manipulation 2 nd paragraph, 1 st bullet. The words in a fume hood should be replaced with undertaken employing local exhaust ventilation. Hazardous Process Chemicals 1 st paragraph 1 st bullet. Ethylene based glycol ethers are no longer used by the semiconductor industry. IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 5

1 st paragraph 1 st and 4 th bullet. These are specific to Semiconductor 1 st paragraph 2 nd and 3 rd bullet. These are specific to PCBA and Semiconductor 1 st paragraph. A sentence should be added to the first paragraph that indicates that worker exposure should be protected from process chemicals including but not limited to: acids, bases, solvents, metals powders and metal sludge as well as toxic, cryogenic and pyrophoric gases Lasers This section should be a subsection of non-ionizing radiation. 2.0 Performance Indicators and Industry Benchmarks 2.1 Environment Table1. Air emission levels What are the units of measure cited (mg/nm 3 )? These are not a recognized unit of measure. Table 1, Notes. The Semiconductor industry does not use ethyl benzene, toluene, xylene, methylene chloride, carbon tetrachloride, chromium compounds, perchloroethylene, 1,1,1- trichloroethane, or trichloroethylene. Table 2. Effluent Levels. Please note that Effluent levels are subject to national, state or regional, and local regulation. Note e. For total toxic organics please add a note that none of these chemicals are used in the manufacturing processes. Please check the references for the total number of TTO s. We believe it is incorrect since the City of Austin, Texas cites 1006 instead of 31. Table 2 Guideline values and notes. For the TTO Pollutants, remove the guideline value of 1.6 for Cathode ray tube Remove Cyanide, total as a pollutant. Also remove cathode ray tube values for chromium, total, Cadmium, and Zinc. Remove Note: d and f since they are incorrect. Annex A Semiconductor Manufacturing IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 6

Please add a short description of negative resists. Also, passivation steps should include formation of both silicon nitride and silicon dioxide since they are both referenced in the general overview. Printed Circuit Board (PCB) manufacturing The first sentence is misleading. The attachment of semiconductors and passive components to printed circuit boards is the Assembly process which is profiled in the following section. The manufacture of PCBs is related to the etching and pattern plating of circuits on base materials, which are often layered. The solder coating process referred to in this section is more commonly known as HASL or hot air solder leveling. Printed Wiring Assemblies (PWA) Manufacturing As previously mentioned, circuit boards have replaced wiring boards in current use. The appropriate term for this section is Printed Circuit Board Assembly (PCBA). The information in this section regarding soldering is incorrect. Soldering processes include wave soldering, surface mount technology (SMT), and hand soldering. Conclusion IPC and the SIA appreciate the opportunity to comment on the International Finance Corporations Environmental, Health and Safety Guidelines for Semiconductors and Other Electronics Manufacturing. We hope that these comments will help to improve the accuracy of these important guidelines. Please feel free to contact us should you have any questions regarding our comments. Sincerely, Chuck Fraust Director, Occupational Health and Environment Semiconductor Industry Association Fern Abrams Director of Environmental Policy IPC the Association Connecting the Electronics Industry IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 7

IFC EHS Guidelines for Semiconductors & Other Electronics Manufacturing Page 8