Effective Annealing and Crystallization of Si Film for Advanced TFT System

Similar documents
Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

TFT Backplane Technologies for AMLCD and AMOLED Applications

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Chapter 3 Silicon Device Fabrication Technology

EECS130 Integrated Circuit Devices

Introduction to Lithography

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

ZnO-based Transparent Conductive Oxide Thin Films

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Pulsed-Laser-Induced Microcrystallization and Amorphization of Silicon Thin Films

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Lecture #9: Active-Matrix LCDs

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors

Silicon Wafer Processing PAKAGING AND TEST

Fabrication Technology

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Performance and reliability of SLS ELA polysilicon TFTs fabricated with novel crystallization techniques

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Application of Electronic Devices for Aerosol Deposition Methods

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Laser Spike Annealing for sub-20nm Logic Devices

Semiconductor Technology

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

IISW-2009 BSI technical challenges

High Thermal Conductivity Silicon Nitride Ceramics

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Lecture 22: Integrated circuit fabrication

VLSI Technology. By: Ajay Kumar Gautam

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms.

Optical pumping and final metal investigation

1. Introduction. What is implantation? Advantages

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Introduction. 1. Sputtering process, target materials and their applications

Amorphous silicon waveguides for microphotonics

ENS 06 Paris, France, December 2006

Annealing Effects on the Properties of Copper Selenide Thin Films for Thermoelectric Applications

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays

Nan Yao Princeton Materials Institute, Princeton University, Princeton, New Jersey 08544

Fabrication of Micro and Nano Structures in Glass using Ultrafast Lasers

Effects of Thin Film Depositions on the EUV mask Flatness

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Microelectronics Reliability

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Growth and properties of (ultra) nano crystalline diamond

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T.

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Electrical Conduction Properties of SiC Modified by Femtosecond Laser

This journal is The Royal Society of Chemistry S 1

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING

Oxygen defects created in CeO 2 irradiated with 200 MeV Au ions

THERE is considerable interest in adapting amorphous

Evaluation of 4 Fe-doped InP wafers using a scanning photoluminescence technique

Process Flow in Cross Sections

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Microstructure of femtosecond laser-induced grating in amorphous silicon

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide

Picosecond Laser Patterning of ITO Thin Films

The effect of radio-frequency sputtering power on the structural properties of Chromium thin films

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Challenges for Commercially viable Transparent Conductive Oxide Layers

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

11.3 Polishing with Laser Radiation

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Transcription:

Journal of Information Display, Vol. 11, No. 1, March 2010 (ISSN 1598-0316) 2010 KIDS Effective Annealing and Crystallization of Si Film for Advanced TFT System Takashi Noguchi Abstract The effect of the crystallization and activated annealing of Si films using an excimer laser and the new CW blue laser are described and compared with furnace annealing for application in advanced TFTs and for future applications. Pulsed excimer laser annealing (ELA) is currently being used extensively as a low-temperature poly-silicon (LTPS) process on glass substrates as its efficiency is high in the ultra-violet (UV) region for thin Si films with thickness of 40-60 nm. ELA enables extremely low resistivity relating to high crystallinity for both the n- and p-type Si films. On the other hand, CW blue laser diode annealing (BLDA) enables the smooth Si surface to have arbitral crystal grains from micro-grains to an anisotropic huge grain structure only by controlling its power density. Both annealing techniques are expected to be applied in the future advanced TFT systems. Keywords: Poly-Si, Si film, Crystallization, ELA, ELC, BLDA, TFT the Si surface [1, 2]. Subsequently, an LTPS TFT on lowcost glass was applied to an LCD panel via the ELC technique, and it is expected to be developed into a highly functional system-on-glass (SoG) [3]. The obtained crystallinity of the poly-si grains after ELC was much higher than that after SPC, as shown in Fig. 1 and in Table 1 [4]. Following the trend of lowering the voltage and shrinkage of the device size for stacked static random-access memory (SRAM) application in Si LSIs, the uniformity issue for the TFT characteristics has become serious. To improve the uniformity of high performance TFTs, a size-controlled grain growth technique in the low-temperature process has been proposed for small size devices [5]. Currently, AM OLED is expected to be developed into an advanced FPD panel. In 1. Introduction Si TFTs have been developed remarkably in the last 25 years and play an important role for active-matrix flat panel display (AM FPD) application. Poly-Si TFTs are the most reliable devices that can be used to realize a high performance FPD. Poly-Si TFTs have been developed for smallsized high-resolution LCD panels with peripheral circuits on glass substrates. So far, the laser and furnace annealing processes have been studied extensively for the crystallization and activation of thin-si films. For the realization and further development of an advanced AM FPD system that includes organic light-emitting diode (OLED) driving, laser annealing techniques are effective and are still expected to obtain higher-performance TFTs by adopting an advanced LTPS process on glass and even on a flexible panel. Solid phase crystallization (SPC) at around 600 C heating has been actively studied for the Si films as a lowtemperature process on glass, before the trial of ELA or excimer laser crystallization (ELC) because it allows large grains to be obtained while maintaining the smoothness of Manuscript Received November 29, 2009; Revised March 21, 2010; Accepted for publication March 22, 2010 This work was supported by all co-researchers, staffs, and friends of author who encouraged him throughout the research. Part of the research was supported by Japan Science and Technology Agency (JST). Corresponding author : Takashi Noguchi Faculty of Engineering, University of the Ryukyus 1 Senbaru, Nishihara, Okinawa, 9015-8680, Japan. E-mail: tnoguchi@tec.u-ryukyu.ac.jp Tel: +81-98-895-8680 Fax: +81-98-895-8708 (a) After SPC (600 C) (b) After ELC (single shot) Fig. 1. Comparison of the grain structure of Si films after two crystallizations (TEM images) [4]. 12

Journal of Information Display, Vol. 11, No. 1, March 2010 Table 1. Comparison of effective crystallization (O: Good, X: Poor) Table 2. Sheet resistance obtained via four-point probe for the doped Si film after BLDA (phosphorus dose: 2x10 15 cm -2 ) Fig. 2. Dependence of sheet resistance on pulse energy density for various laser shot numbers (phosphorus was doped at a dose of 2x10 15 cm -2 ) [19]. this case, micro-poly-si TFTs with stable characteristics have an advantage in terms of pixel driving [6]. Simple and stable grain-size control is desirable depending on the TFT application. On the other hand, to realize a flexible FPD system such as that on a plastic panel, efforts are being made to further reduce the process temperature for the LTPS process [7, 8]. To realize an advanced FPD panel, although ELC is a powerful and efficient process for high-mobility TFTs with a large grain-structure size, a more stable and higher-power annealing process with highly efficient absorption for thin Si films is required. As the newly developed high-power semiconductor blue-multi-laser diode is stable and as its absorption at the 445 nm wavelength is higher than that at 532 nm for 50-nm-thick polycrystalline thin Si films, as shown in Fig. 2, stable and flexible crystallization or a uniform annealing process of a controllable grain size is expected [9, 10]. 2. Effective Crystallization 2.1 SPC After the furnace annealing of a high-quality amorphous Si film at about 600 C, remarkable grain growth occurred based on the homogeneously suppressed nucleation in the thin film. It was found that the lower the SPC temperature is, the larger the grain size, as the nucleation is lower [1]. A smooth surface with dendrite Si grains as large as 1μm in diameter can be obtained. Moreover, by fabricating a poly-si TFT through the SPC process at 600 C, a fairly high mobility value of over 60 cm 2 /V.s. can be obtained [11]. By performing subsequent high-temperature annealing or thermal oxidation for the films with dendrite grains, the electronic properties were further improved as a result of the decrease in the defects density of the grains, without enlarging the grain size [2]. 2.2 ELC and ELA As a result of the performance of single-pulsed ELA onto dendritic poly-crystallized Si films obtained after SPC, the film properties improved through instantaneous heating at a high temperature as the Si film has a high absorption coefficient in the UV region [12]. The absorption coefficient of the Si film in the UV region is as high as 10 6 cm -1. If the UV pulse beam is irradiated directly onto thin amorphous Si films, drastic crystallization will occur. As the duration of the pulsed excimer laser beam is very short, typically a few tens of nanoseconds, the substrate, such as glass, under the films does not receive any thermal damage. Therefore, ELC or ELA is a practical low-temperature and compatible process. The crystallization behavior depends on the initial quality of the amorphous Si films and on the 13

Takashi Noguchi / Effective Annealing and Crystallization of Si Film for Advanced TFT System under-layer structure, and the maximum attainable grain size, is influenced not only by the energy density but also by the number of shots [13]. Although the crystallization of the Si film via ELA has been studied intensively [14, 15], further research on its precise mechanism should be conducted particularly with regard to the quality of the initial amorphous Si film. In the case of ELC for the Si film with a thermal slope in a plane, remarkable lateral growth occurs, and, in general, after ELC, the crystallized poly-si films receive tensile stress [16]. For the self-aligned formation of the source and drain (S/D) through the gate, a low resistance is required to realize high-performance TFTs and fine patterned MOS FETs. The efficient activation of doped Si films can be attained via SPC, rapid thermal annealing (RTA) or the adoption of excimer laser processing [17, 18]. In particular, ELA is seen as a promising technique for dopant activation. The electrical activation of Si films, which was performed via ELA after impurity doping using ion shower, was evaluated. With the increase in the pulse energy density and shot number, an extremely low sheet resistance can be obtained both for the heavily doped n-type (phosphorus), and the p-type (boron) cases, as shown in Fig. 2. [19, 20] Under the lowerpulse-energy condition, although a segregation at the grain boundaries or the occurrence of other phenomena peculiar to the highly-doped poly-si film after thermal annealing should be taken into account for a higher shot number, precise research is required [21]. In this study, above the pulse energy density of 350 mj/cm 2, the resistivity decreased when the shot number increased [19]. Under this condition, the growth is speculated to be accelerated by a surface energy-driven-like grain growth. It was found from Raman scattering and spectroscopic ellipsometry (S.E.) analysis that the decrease in resistivity corresponds to the improvement of crystallinity. The high activation efficiency in the laser-annealed poly-si film of the heavily doped case is comparable to the result of the doped single-crystalline bulk-si under the thermal-equilibrium condition. 2.3 BLDA In Fig.3, the absorbance spectra are shown for the 50- nm-thick Si films before and after BLDA [9]. With assistance given to the interference effect, the absorbance at 445 nm became fairly high both for the amorphous and polycrystallized films, as well as at 308 nm (for the XeCl excimer laser). Therefore, due to the irradiation of the laser Fig. 3. Absorbance spectra for the 50-nm-thick Si films before and after BLDA [9]. beam with controlled power and a beam-shape at this wavelength, the amorphous Si films should be poly-crystallized efficiently and stably, as in the ELA case. Images of the grain structure in Si films observed via TEM for each controlled laser power as a result of BLDA are shown in Fig. 4. [9] For the films annealed by a lower power of 5 W, small crystallized grains were observed, as shown in Fig. 4(a) [10]. If the power is controlled below 5 W, micro-poly Si films of uniform grain size, which are required for TFTs for organic OLED driving, are expected to be realized [6]. Crystallized micro-grain Si films are considered superior in terms of reliability than those obtained via direct deposition as the grain structure, including the grain boundaries, should be improved by heating a at high temperature. When the laser power was increased to 6W, drastic isotropic grain growth occurred, as shown in Fig. 4 (b). The use of poly-si films of large grain size is expected to yield high-performance TFTs with high mobility. In the case of the fairly higher laser-power condition, the crystallized Si films showed an anisotropic structure of large grains as can be seen in Fig. 4(c). The grains seem to have expanded along the beam scanned direction, similar to the result of the use of CW green laser (532 nm) crystallization [22]. Moreover, the resultant surface after BLDA was fairly smooth. In this advanced grain structure, a much higher mobility is expected applicable to advanced peripheral circuits as SoG systems if the channels of TFTs will be fabricated along the longer grain direction As blue laser 14

Journal of Information Display, Vol. 11, No. 1, March 2010 For the doped Si films, the sheet resistance result is shown in Table 2. As the exposed power increases, (i.e. as the crystallinity becomes higher or as the grain size becomes larger), the resistance decreases. For the 8W annealing case, an extremely low value (84 ohm/ ) was obtained. In the 8W case, the sheet resistance value was close to the value obtained when the shot condition was optimized via pulsed ELA. (Fig. 2) In this case, the activation ratio for the annealed film was close to that in the case of the bulk single-crystalline Si. The behavior of the electrical data corresponds to the crystallinity. (a) 5 W (b) 6 W 2.4 U-LTPS as a reduction of the process temperature for plastic panels By reducing the process temperature down to 200 C or below and by improving the fabrication process to make it an ultra-ltps (U-LTPS) process, stable TFT characteristics were obtained on the poly-ether-sulphone (PES) plastic substrate. In this case, initial amorphous Si was deposited via r.f. sputtering. By optimizing the deposition condition, such as by incorporating the sputter gas of Xe or He in place of Ar, a high-quality or desirable amorphous Si film was obtained at room temperature. As a result, subsequent ELC can be performed stably [7, 19]. The direct fabrication of poly-si TFTs for the AM-OLED FPD using U-LTPS via laser crystallization is expected to be done for flexible panels as well as for glass panels [23]. By further improving the process conditions including the laser crystallization, a simpler and more stable process is expected to be developed. 3. Summary (c) 8 W Fig. 4. Plane view of the TEM images for the controlled power of the blue laser [9]. (455 nm) has a higher absorbance than green laser for a-si films and poly-crystallized Si, the efficient crystallization of Si films can be realized with effective heating and a much higher crystallinity is expected. The effective crystallization results of Si films were briefly described and discussed. Currently, ELA or ELC is the most efficient and powerful tool of LTPS applications using high-performance TFTs. On the other hand, stable BLDA is also expected to be developed for future applications. To realize an advanced system-on-panel (SoP), uniform and high-quality Si films with micro-grains to larger grains should be studied in detail and are expected to be applied based on the powerful, stable and reliable laser annealing techniques. 15

Takashi Noguchi / Effective Annealing and Crystallization of Si Film for Advanced TFT System References [ 1 ] R.B. Iverson and R. Reif, J. Appl. Phys., 62, 1675 (1987). [ 2 ] T. Noguchi. T. Ohshima, H. Hayashi, J. Ele. Chem..Soc., Solid-State Science and Technology, 134, 1771 (1987). [ 3 ] K. Kanzaki, Dig. of Tech. Papers on AM-LCD 01, 71 (2001). [ 4 ] T. Noguchi, A.J. Tang, J.A. Tsai and R. Reif, IEEE Trans. Ele. Dev., 43, 1454 (1996). [ 5 ] T. Noguchi, H. Tsukamoto, T. Suzuki, and H. Masuya, Ext. Abst. Int. Conf. SSDM, 620 (1991). [ 6 ] K. Tatsuki, T. Arai, N. Umezu, K. Shirai, Y. Inagaki and T. Urabe, Dig. of Tech. Papers, AM- FPD 08, S- 11, 109 (2008). [ 7 ] D.P. Gosain, T. Noguchi and S. Usui, Jap. J. Appl. Phys. 39, L179 (2000). [ 8 ] T. Noguchi, Y.Y. Kwon, J.S. Jung, J.M. Kim, K.B. Park, H. Lim, D.Y. Kim, H.S. Cho, H.X. Yin and W.X. Xianyu, Jap. J. Appl. Phys., 45, 432 (2006). [ 9 ] T. Noguchi, Y. Ogino and M. Terao, Proc. of ITC`09, 10.1, 252 (2009). [ 10 ] T. Noguchi, Y. Ogino and M. Terao, Proc. of AM FPD, 5-3, 203 (2009). [ 11 ] T. Noguchi, H. Hayashi and T. Ohshima, Jap. J. Appl. Phys. Lett., 25, L121 (1986). [ 12 ] T. Noguchi, K. Tajima, and Y. Morita, Mat. Res. Soc. Symp. Proc., 146, 35 (1989). [ 13 ] D.Y. Kim, H.S. Cho, K.B. Park, D.Y. Kim, J.S. Jung and T. Noguchi, J. Korean Phys. Soc., 45, S847 (2004). [ 14 ] R.S. Sposil and J.S. Im, Appl. Phys. Lett., 69, 2864 (1996). [ 15 ] N. Matsuo and H. Hamada, IEICE Tech. Report, SDM 200-13 (2000). [ 16 ] K.B. Park, H.S. Cho, H.X. Yin, J.S. Jung, D.Y. Kim, W.X. Xianyu, J.Y. Kwon, Y.S. Park and T. Noguchi, Proc. of IDW, 315 (2004). [ 17 ] D. Debarre, G. Kerrien, T. Noguchi, J. Boulmer, IEICE Trans. Electron., E85-C, 1098 (2002). [ 18 ] H. Lim, H.X. Yin, W.X. Xianyu, J.Y. Kwon, X.X. Zhang, H.S. Cho, J.M. Kim, K.B. Park, D.Y. Kim, J.S. Jung and T. Noguchi, J. of Korean.Phys. Soc.., 48, S.47 (2006). [ 19 ] T. Noguchi, Jap. J. Appl. Phys., 47, 1858 (2008). [ 20 ] T. Noguchi, K. Kawai, T. Miyahira, T. Suzuki and M. Sato, J. of Korean Phys. Soc., 54, 463 (2009). [ 21 ] T. Kamins, Polycrystalline Silicon for Integrated Circuit Applications (Kluwer Academic Publishers, 1988), p.112. [ 22 ] T. Kaitoh, T. Miyazawa, H. Miyake, T. Noda, T. Sakai, Y. Owaku, and T. Saitoh,, Proc. of IDW07, TFTs, AMD-7, 481 (2007). [ 23 ] J.Y. Kwon, J.S. Jung, K.B. Park, J.H. Hur, J.M. Kim, H. Lim, S.Y. Lee, J.M. Kim, T. Noguchi, J.H. Hur and J. Jang, Proc. SID 07, 34.2 (2007). [Parts of this work were presented in Proceedings of IMID 2009.] 16