MATERIALS. Silicon Wafers... J 04 J 01. MATERIALS / Inorganics & thin films guide

Similar documents
SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components

Fabrication Technology

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers

Fabrication and Layout

Doping and Oxidation

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

micro resist technology

The Physical Structure (NMOS)

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

VACUUM VIEWPORTS. Introduction... I 03 KF Viewports... I 09 I 01. VACUUM / Components & Consumables

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Semiconductor Technology

Chapter 3 Silicon Device Fabrication Technology

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Today s Class. Materials for MEMS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Ultra High Barrier Coatings by PECVD

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

EECS130 Integrated Circuit Devices

Etching Mask Properties of Diamond-Like Carbon Films

Productivity versus Profitability in Vacuum Web Coating

Photolithography I ( Part 2 )

micro resist technology

VLSI Digital Systems Design

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

11.3 Polishing with Laser Radiation

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER

OPTICAL, ELECTRICAL AND STRUCTURAL PROPERTIES OF PECVD QUASI EPITAXIAL PHOSPHOROUS DOPED SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21

Process Flow in Cross Sections

Monte Carlo approach to island formation during thermal treatment of thin films*

Piezoelectric Polycrystalline (PZT) Components and Wafers

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

BBO Crystals. Features. Broad phase-matchable second-harmonic-generation (SHG) range from nm to 2500 nm

VLSI Technology. By: Ajay Kumar Gautam

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS

Thin. Smooth. Diamond.

Slip Formation in 300-mm Polished and Epitaxial Silicon Wafers Annealed by Rapid Thermal Annealing

Surface Micromachining

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Surface micromachining and Process flow part 1

BAR STRAIN GAGE DATA SEMICONDUCTOR BAR STRAIN GAGES DATA SHEET

CHARACTERIZATION OF SILICON CARBIDE AND PYROCARBON COATINGS FOR FUEL PARTICLES FOR HIGH TEMPERATURE REACTORS (HTR)

Lecture 22: Integrated circuit fabrication

Amorphous Silicon Solar Cells

Titanium Oxide S Patinal

Silicon Wafers: Basic unit Silicon Wafers Basic processing unit 100, 150, 200, 300, 450 mm disk, mm thick Current industrial standard 300 mm

5.8 Diaphragm Uniaxial Optical Accelerometer

Section 4: Thermal Oxidation. Jaeger Chapter 3

HYPRES. Hypres MCM Process Design Rules 04/12/2016

300mm Wafer Stain Formation by Spin Etching

Specialty Graphites for the Semiconductor Industry

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

Solar Energy Research Institute of Singapore (SERIS), National University of Singapore (NUS), Singapore

KGC SCIENTIFIC Making of a Chip

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Graphite and C/C Products for Semiconductor & Solar Applications

THERMAL OXIDATION - Chapter 6 Basic Concepts

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

200mm Next Generation MEMS Technology update. Florent Ducrot

Thermal Evaporation. Theory

Lecture Day 2 Deposition

Materials Characterization

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Radiation Tolerant Isolation Technology

What is a crystal? Historic definition before the advent of crystallography. - A material with a regularly repeating structural motif

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Batch Wet Processing System for Heterojunction Solar Cells

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Fabrication and Layout

Micro Laser Assisted Machining (µ-lam) of Semiconductors and Ceramics. Machining Direction

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Lecture 1A: Manufacturing& Layout

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

Transcription:

J MATERIALS SUBSTRATES Silicon Wafers... J 04 J J 01

MATERIALS SUBSTRATES NEYCO has a complete range of crystal substrates for a wide variety of applications, including Semiconductor, Biotechnology, Nanotechnology, and MEMS. NEYCO is your one stop source for advanced materials for both R&D laboratory use and industry production. We can help you locate, specify, and purchase unique materials in an efficient and cost effective manner. J 02

MATERIALS VACUUM DEPOSITION GUIDE MATERIALS Vapor pressures SUBSTRATES table Standard substrate parameters Substrates and wafers are manufactured by a technology, which is specially adapted to the respective material. Additionally we produce sub strates and wafers customer-specific in all possible orientations, sizes and geometries and with smaller tolerance. Orientations Tolerance of orientation Standard sizes (100), (111), (110) for cubic crystals (110), (001) for tetragonal crystals (0001), (1-102), (11-20), (10-10) for hexagonal crystals (110), (001) for orthorhombic crystals other orientations on request Standard: edges are oriented Maximum 30 ; typical < 20 higher precision on request 10x10 mm, 10x5 mm, 12.7x12.7 mm, 15x15 mm, 20x20 mm, 25x25 mm, ø 1, ø 2, ø 3 other sizes on request Tolerance of sizes Thickness Tolerance of thickness Polish +0/-0.05 mm 0.5 mm, 1.0 mm (standard) other thicknesses down to 0.1 mm on request +0.05/-0.05 mm One side, two sides optical polish of lateral sides (cylinders) on request Surface quality Scratchfree at magnification of 50 J Roughness: (at λ Cutoff = 0.08 mm) Ra: typ. better than 0.5 nm Rq: typ. better than 1.0 nm Rt: typ. better than 2.0 nm Parallelity Typ. better than 10 Flatness Max. 1 µm/10 mm (test region 98% of the wafer area) Micro-roughness measured with Kugler Interferometermicroscope (lateral resolution: 0.64 µm, vertical resolution (theoretically): 0.01 nm). J 03

MATERIALS SUBSTRATES Single Silicon Crystal Wafers Substrates Silicon Wafers Materials characteristics Silicon wafers are cut from silicon single crystal using internal diameter diamond discs. Silicon wafers are lapped of both sides with abrasive mixture. After cutting or lapping the wafers are washed in ultrasonic washers or undergo active washing. The wafers edges are mechanically rounded. Silicon wafers are etched in acid mixture or alkaline. Wafers surface is alkaline or acid etched according to the customer s request. Active sides of the wafers (for single side polished wafers) or both sides (for two sides polished wafers) are chemo-mechanically polished. Etched Silicon wafers Applications Wafers are used for production of silicon substrates and membranes. The below mentioned parameters are dealing with our standard production. On the customer s request we are ready to discuss orders for wafers with some other parameters, for instance: Low radial resistivity variation (RRV) combined with the uniform distribution of dopants in the crystal (this parameter depends on shape of phase boundary and the phenomena in the boundary layer during monocrystalization process). Perfect crystallographic structure of material (free from swirls, with dislocations density lower than recommended by SEMI standard - 500/cm 2 ). Low oxygen concentration (O 2 < 30 ppm). Tolerance of orientation better than 0.10. Very good polished surface (one or both sides polished depending on technology and the type of products). Polished Silicon wafers J 04

MATERIALS MATERIALS VACUUM MATERIALS DEPOSITION SUBSTRATES GUIDE SUBSTRATES Single Vapor Crystal pressures Silicon Substrates Wafers table Standard specifications Growth method Crystal growth Czochralski (CZ) Floating zone (FZ) Orientation <111>, <100> Diameters Crystallographic properties 1 to 300 mm Crystal structure Cubic a = 0.543 nm Dopant available Physical properties P-type: Boron N-type: Phosphorus, Antimony or Arsenic Density 2.329 g.cm -3 Melting point 1417 C Hardness 7 Mohs Thermal expansion 2.3-4.7.10-6 K -1 Resistivity range Band gap (at 273 K) 0.001-10 000 Ω.cm 1.106 ev Thermal conductivity 147 W.m -1.K -1 Carrier mobility Conductivity type Chemical properties µ e = 1350 cm 2.V -1.s -1 µ h = 480 cm 2.V -1.s -1 P-type or N-type Solubility in water 0.005 g/100 cm 3 Solubility in acids Soluble Solubility in organic solvents Insoluble Optical properties Absorption coefficient 0.01 cm -1 at 5 µm Transmission range (thickness 2 mm) Refractive index n 1.2-15.0 µm 3.0 µm 5.0 µm 6.0 µm 7.0 µm 8.0 µm 10.0 µm 3.432 3.422 3.420 3.419 3.418 3.417 Technical Data: as cut, lapped, etched, polished J Diameter (mm) 50.8 ± 0.3 76.0 ± 0.5 100 ± 0.5 125 ± 0.5 150 ± 0.5 Orientation <100> <100> <100> <100> <100> Thickness (µm) 40 to 25000 60 to 25000 70 to 25000 100 to 5000 150 to 5000 Thickness tolerance (µm) Standard Typical Total thickness variation TTV max ± 15 ± 20 ± 20 10 15 15 20 25 Diameter (mm) 50.8 ± 0.3 76.0 ± 0.5 100 ± 0.5 125 ± 0.5 150 ± 0.5 Orientation <111> <111> <111> <111> <111> Thickness (µm) 100 to 25000 150 to 25000 200 to 25000 250 to 5000 350 to 5000 Thickness tolerance (µm) Standard Typical Total thickness variation TTV max ± 15 ± 20 10 15 15 20 25 J 05

MATERIALS SUBSTRATES Single Silicon Crystal Wafers Substrates Transmission spectrum (high resistivity Si) Recommended applications Wafer Resistivity (ohm.cm) < 0.05 1-5 6-12 > 30 Application Epitaxial substrate Solar cell IC, OE devices or sensors Special device or component THERMAL OXIDATION On request, a thermal oxidation can be grown on our Silicon wafers. Oxidation thickness: 100 nm to max. 2 µm. Wafer sizes: up to 4. OTHER SERVICE We can make special vacuum coatings on wafers: for example, we can deposit a precoating of Chromium or Titanium before a thin film of Gold, or any other evaporated or sputtered material. Contact us for your specific needs. J 06

30 avenue de la Paix 92170 VANVES - France Tel: +33(0)1 41 90 50 50 Fax: +33(0)1 41 90 50 51 www.neyco.fr Materials J 2013-4