Why SiO 2? The extraordinary properties of SiO 2 are the basis of the success of MOS-technology

Similar documents
Chapter 5 Thermal Processes

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

MICROCHIP MANUFACTURING by S. Wolf

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Why silicon? Silicon oxide

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Oxide Growth. 1. Introduction

Section 4: Thermal Oxidation. Jaeger Chapter 3

Doping and Oxidation

MOSFET. n+ poly Si. p- substrate

Semiconductor Technology

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Oxidation SMT Yau - 1

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

Instructor: Dr. M. Razaghi. Silicon Oxidation

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur

THERMAL OXIDATION - Chapter 6 Basic Concepts

Silicon Oxides: SiO 2

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Silicon Wafer Processing PAKAGING AND TEST

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Chapter 3 CMOS processing technology

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage:

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

MOS Gate Dielectrics. Outline

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE 330 Lecture 9. IC Fabrication Technology Part 2

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

University of Texas Arlington Department of Electrical Engineering. Nanotechnology Microelectromechanical Systems Ph.D. Diagnostic Examination

Chapter 3 Silicon Device Fabrication Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Problem 1 Lab Questions ( 20 points total)

EECS130 Integrated Circuit Devices

Silicon Wafers: Basic unit Silicon Wafers Basic processing unit 100, 150, 200, 300, 450 mm disk, mm thick Current industrial standard 300 mm

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Chapter 5 Thermal Processes

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

VLSI Digital Systems Design

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Oxidation of Silicon

PDS Products PRODUCT DATA SHEET. BN-975 Wafers. Low Defect Boron Diffusion Systems. Features/Benefits BORON NITRIDE

Czochralski Crystal Growth

Chapter 2 Manufacturing Process

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Isolation Technology. Dr. Lynn Fuller

Chapter 2 MOS Fabrication Technology

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Fabrication Technology

This Appendix discusses the main IC fabrication processes.

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Lecture 0: Introduction

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

CMOS FABRICATION. n WELL PROCESS

Lecture 22: Integrated circuit fabrication

Amorphous and Polycrystalline Thin-Film Transistors

Materials Characterization

Department of Electrical Engineering. Jungli, Taiwan

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

行政院國家科學委員會補助專題研究計畫成果報告

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Bruce Furnace Recipes Dr. Lynn Fuller Webpage: Electrical and Microelectronic Engineering

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

FABRICATION of MOSFETs

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Fabrication and Layout

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Physical Vapor Deposition (PVD) Zheng Yang

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Microfabrication of Integrated Circuits

Edinburgh Microfabrication Facility, University of Edinburgh.

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Transcription:

Oxidation 1

Why? The extraordinary properties of are the basis of the success of MOS-technology Non-crystalline insulator Very high energy gap Φ M Easy to grow on Easy to integrate in a process E M Excellent interface between substrate Stable and insensitive to following process-steps Excellent scaling possibilities no real candidates for replacement E 0 1.0eV χ ox 9.0eV 3.0eV 4.9eV Φ S χ si E C E V 2

Properties of - : 3.12 Å, -O: 1.62 Å, O-O: 2.27 Å 10 nm : 40-50 atomic layers 1.2 nm : only about 4 atomic layers Bonding angle : 110~180 ( 144 ) Dielectric constant: 3.9 Energy gap : 9 ev Density : 2.20 g/cm 3 Refractive Index : ~1.462 Dielectric strength 10-15 MV/cm Tetrahedra structure θ Bridging Oxygen Oxygen licon 3

Application of Thermal Oxides 4

Transistor Process Flow (1970s) Al nmos Cross-section PSG poly n + n + p- N Clean p- p- Field Oxidation Oxide Etch p- p- Gate Oxidation Poly Dep. p- poly p- poly Poly Etch P + Ion Implant p- poly n + poly p- n + Annealing 5

Masking Oxide Much lower B and P diffusion rates in than that in can be used as diffusion mask Dopant 6

Pad Oxide Relieve strong tensile stress of the nitride Prevent stress induced silicon defects licon nitride Pad Oxide licon Substrate 7

Blanket Field Oxide Isolation licon Wafer Clean licon Dioxide licon Activation Area Field Oxidation Field Oxide licon Oxide Etch 8

Gate Oxide Thickness of oxide (T ox ) must closely match the specification of the MOSFET design T ox must be sufficiently uniform across the entire wafer, and from wafer to wafer, and from run-to-run Extremely low Q f and D it (Good / interfacial properties) E BD > 8MV/cm, pinhole free and negligible defects Sufficient long lifetime under normal operating High resistance to hot-carrier damage Resistance to boron penetration Refer to Dr. Hong Xiao V G V D > 0 Poly Gate Thin oxide n + Source Electrons p- n + Drain Substrate Source: Dr. PT Liu 9

Growth Mechanism Native oxide: surface has a high affinity for oxygen formed in the air or chemical cleaning process quality is bad and should be eliminated 10-20 Å Thermal oxidation: Dry Oxidation ( solid) + O O ( solid) 2 2 Wet Oxidation (steam oxide) ( solid) + 2 H O O ( solid) + 2H 2 2 2 10

1000 o C + Dry Oxidation Original licon Surface licon Dioxide ( ) 55% 45% licon wafer () Thickness of silicon = 0.45 x (thickness of ) 1 1 1 1 substrate 1.3 1.3 1.3 1 1 1.2 1 1 substrate Oxidizing species diffuse through to / Source: Dr. PT Liu 11

Oxide Growth: Deal-Grove Model Deal-Grove Relation (linear-parabolic growth law): Linear Growth (Reaction-Limited) Regime B Oxide Thickness X = t A Diffusion-limited Regime X = B t t ox t 0.44 t ox Top oxide surface Original silicon surface Oxidation Time licon Oxide-silicon surface 12

Growth Mechanism Deal-Grove Relation (linear-parabolic growth law): 2 ox T + AT = B( t+ τ ) 2 Tox = Bt ox for t >> τ, t >> A 2 /4B Diffusion-controlled for (t+ τ) << A 2 /4B T ox B = ( t+τ ) A Reaction-controlled * 2 1 1 2DC x + Ax i i A= 2 D[ + ] B= τ = k h N B A, B : temperature, ambient composition, pressure and crystalline orientation τ is related to the initial oxide thickness s I C g Gas phase C g Gas phase Reaction control F 1 Concentration Diffusion control F 1 C 0 Concentration C 0 F 2 F 2 C* F 3 X 0 X 0 C* C i C i + F 3 + 13

<100> licon Dry Oxidation Oxide Thickness (micron) 1.2 1.0 0.8 0.6 0.4 0.2 0 <100> licon Dry Oxidation 1200 C 1150 C 1100 C 1050 C 1000 C 950 C 900 C 2 4 6 8 10 12 14 16 18 20 Oxidation Time (hours) 14

Interfacial Structure Dangling bond surface Interfacial trap impurity Oxygen vacancy Stretched bond 15

Interfacial Structure bulk-oxide count TEM boundary total Oxygen count sub-oxide count t ox D. Muller, et. al, Nature, 399, 758(1999) 16

Oxide Traps and Defects Mobile Ionic Charge K + Na + Oxide Trapped Charge + + + ++++++ Fixed Oxide Charge Interface Trapped Charge (Deal, 1980) Fixed Charge (Q f, N f ) (Positive): structural defects due to incomplete oxidation and stress, within 2.5 nm from / not electrically communicate with interface states Mobile Oxide Charge (Q m, N m ): Na +, Li +,K +,H + Oxide Trapped Charge (Q ot,n ot ): positive or negative due to hole or electron traps in borken -O bonds radiation, charge injection in high field Interface Trapped Charge (Q it,n it, D it ): structural defects due to incomplete oxidation at / donor or acceptor-like surface-potential dependent 17

/ Interface Interface states: imperfect bonds Electrically interacted with channel carriers Assuming each dangling bond give rise to one interface state Impact on device characteristics threshold voltage ( V t ) carrier mobility ( G m ) reliability, oxide integrity and HCI( hot-carrier-injection) degradation Hydrogen annealing at 300-500 o C is effective to passivate Q it at the very end step of process. 18

Deal-Grove Triangle Q f decreases with increasing oxidation temperature Dry Post oxidation annealing in N 2 or Argon ambient is needed to minimize Q f However, annealing should be kept within specific time period without causing Dry N 2 or Argon increase of Q f 600 900 1200 Fixed Charge, Q f 19

Conventional Furnace Equipment 20

Thermal Process Hardware Control System Gas Delivery System Loading System Exhaust System Process Tube 21

MFC Furnace System MFC MFC MFC Process Tube Control Valve Scrubber Regulator HCl N 2 吹除淨化氮氣 Exhaust Control System 在氧化製程中, 總是把氮氣當作鈍氣應用在系統閒置時 晶圓裝載 溫度提昇 溫度穩定和晶圓卸載等步驟中 乾式氧化步驟中也使用氯化氫,HCl or TCA (trichloroethane), 來減少氧化物中的移動離子, 使其成為不可移動的氯化物化合物, 並將界面電荷 (Interface state charge) 降至最低!! 22

Furnace Configuration Horizontal Tube Vertical Tube Center Zone Heating Coils Heaters Gas Flow Quartz Tube T ± 0.5 Flat Zone Tower several hundred wafers Distance Ramp up ~1 /sec 23

Dry Oxide Process Sequence Idle with purge N 2 flow Idle with process N 2 flow Wafer boat push in with process N 2 / flow Temperature ramp-up with process N 2 / flow Temperature stabilization with process N 2 / flow Oxidation with, HCl; stop N 2 flow Oxide annealing; stop ; start process N 2 flow Temperature cool-down with process N 2 flow Wafer boat pull out with process N 2 flow Idle with process N 2 flow Repeat process with next boat 24

Oxidation Recipe 25

Wet Oxidation Faster, higher throughput (H 2 O, HO species) Thick oxide, such as LOCOS Dry oxide has better quality Process Temperature Thickness Oxidation Time Dry oxidation 1000 C 1000 Å ~ 2 hr Wet oxidation 1000 C 1000 Å ~ 12 min Source: Dr. PT Liu 26

Effect of Oxidation Ambient Wet oxidation rate is much higher than dry oxidation rate because HO - or H 2 O diffuses much faster than in. 27

Pyrogenic Steam System Hydrogen Flame, 2 H 2 + 2 H 2 O H 2 To Exhaust Thermal Couple Process Tube Paddle Wafer Boat Typical H 2 : ratio is between 1.8:1 to 1.9:1. 28

Outside Torch System (OTS) 29

Pyrogenic Wet Oxidation System MFC Process Tube MFC MFC MFC Wafers Burn Box Control Valves H 2 Process N 2 Purge N 2 Regulator Scrubbier Exhaust 30

Pyrogenic Oxide Process Sequence Idle with purge N 2 flow Idle with process N 2 flow Ramp with process N 2 Wafer boat push in with and process N 2 flows Temperature ramp-up with and process N 2 flows Temperature stabilization with and process N 2 flows Ramp turn off N 2 flow Stabilize flow Turn on H 2 flow, ignition, and H 2 flow stabilization 31

Pyrogenic Oxide Process Sequence (Cont.) Steam oxidation with and H 2 flows Hydrogen termination; turn off H 2 while keeping flow Oxygen termination; turn off, start process N 2 flow Temperature ramp-down with process N 2 flow Wafer boat pull out with process N 2 flow Idle with process N 2 flow Repeat process with next boat Idle with purge N 2 flow 32

Oxide Measurement Thickness Uniformity SEM, TEM, Profilermeter Color chart Spectrophotometry (Reflectometry) Ellipsometry C-V I-V, breakdown voltage C-V, oxide charge 33

Spectrophotometry (Reflectometry) Incident light 1 Interference 2 Human eye or photodetector t Dielectric film, n( λ) Substrate 34

Interference in Thin Films 180 o phase change λ 0 n 0 sinφ= n 1 sinβ λ 1 = λ 0 /n 1 n 2 > n 1 > n 0 =1 λ 2nx cosβ 2x cosβ = m λ = n m 0 1 0 0 0 1 m = 1,2,3 : constructive interference m = 1/ 2,3 / 2,5 / 2 : distructive interference 35

Color Chart 36

Spectroreflectometry System Substrate Film Detectors UV lamp Reflectance (%) 50 45 40 35 30 25 20 15 10 5 0 Constructive interference Destructive interference λ 1 λ 2 λ 3 358 417 476 535 594 653 712 771 Wavelength (nm) 37