Oxidation SMT Yau - 1

Similar documents
Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Chapter 5 Thermal Processes

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Chapter 7 Polysilicon and Dielectric Film Deposition

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Why silicon? Silicon oxide

Doping and Oxidation

MICROCHIP MANUFACTURING by S. Wolf

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Czochralski Crystal Growth

INTEGRATED-CIRCUIT TECHNOLOGY

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

Chapter 3 Silicon Device Fabrication Technology

Semiconductor Technology

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Chapter 5 Thermal Processes

Semiconductor Device Fabrication

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 2 Manufacturing Process

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Isolation Technology. Dr. Lynn Fuller

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lect. 2: Basics of Si Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Lecture #18 Fabrication OUTLINE

EE 330 Lecture 9. IC Fabrication Technology Part 2

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Technology

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Chapter 3 CMOS processing technology

CMOS Manufacturing process. Design rule set

EE 434 Lecture 9. IC Fabrication Technology

Instructor: Dr. M. Razaghi. Silicon Oxidation

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

The Physical Structure (NMOS)

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Plasma-Enhanced Chemical Vapor Deposition

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

IC/MEMS Fabrication - Outline. Fabrication

Xilinx XC4036EX FPGA

Review of CMOS Processing Technology

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Lecture 22: Integrated circuit fabrication

9/4/2008 GMU, ECE 680 Physical VLSI Design

Complementary Metal Oxide Semiconductor (CMOS)

Altera EPM7128SQC EPLD

Rockwell R RF to IF Down Converter

Oki M A-60J 16Mbit DRAM (EDO)

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Chapter 2 MOS Fabrication Technology

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

Lattice 3256A-90LM PLD

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

IC Fabrication Technology Part III Devices in Semiconductor Processes

NEC 79VR5000 RISC Microprocessor

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Mostafa Soliman, Ph.D. May 5 th 2014

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Silicon Manufacturing

Intel Pentium Processor W/MMX

Physical Vapor Deposition (PVD) Zheng Yang

Oxidation Part 1. By Christopher Henderson

Section 4: Thermal Oxidation. Jaeger Chapter 3

EECS130 Integrated Circuit Devices

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

Isolation of elements

SGS-Thomson M28C K EEPROM

Integrated Circuit Engineering Corporation. DRAMs

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

PDS Products PRODUCT DATA SHEET. BN-975 Wafers. Low Defect Boron Diffusion Systems. Features/Benefits BORON NITRIDE

Xilinx XC4036XL-1C FPGA

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

SGS-Thomson M17C1001 1Mb UVEPROM

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Motorola MPA1016FN FPGA

MOS Front-End. Field effect transistor

Silicon Wafer Processing PAKAGING AND TEST

Semiconductor Technology

Transcription:

Oxidation Yau - 1

Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used and its benefits. 2. State the chemical reaction for oxidation and describe how oxide grows on silicon. 3. Explain selective oxidation and give two examples. 4. State the three types of thermal processing equipment, describe the five parts of a vertical furnace, and give the attributes of a fast ramp vertical furnace. 5. Explain what is a rapid thermal processor, its usage and design. 6. Describe the critical aspects of the oxidation process, its quality measures and some common troubleshooting problems. Yau - 2

Diffusion Area of Wafer Fabrication Wafer fabrication (front-end) Wafer start Thin Films Polish Unpatterned wafer Completed wafer Diffusion Photo Etch Test/Sort Implant Used with permission from Advanced Micro Devices Figure 10.1 Yau - 3

Oxide Film Nature of Oxide Film Uses of Oxide Film Device Protection and Isolation Surface Passivation Gate Oxide Dielectric Dopant Barrier Dielectric Between Metal Layers Yau - 4

Atomic Structure of Silicon Dioxide Silicon Oxygen Used with permission from International SEMATECH Figure 10.2 Yau - 5

Field Oxide Layer Field oxide isolates active regions from each other. n-well p-well p- Epitaxial layer p+ Silicon substrate Figure 10.3 Yau - 6

Gate Oxide Dielectric Gate Oxide Polysilicon gate n-well p-well p- Epitaxial layer p+ Silicon substrate Figure 10.4 Yau - 7

Oxide Layer Dopant Barrier Phosphorus implant n-well Barrier oxide p- Epitaxial layer p+ Silicon substrate Figure 10.5 Yau - 8

Table 10.1 Oxide Applications: Native Oxide Purpose: This oxide is a contaminant and generally undesirable. Sometimes used in memory storage or film passivation. Silicon dioxide (oxide) p + Silicon substrate Comments: Growth rate at room temperature is 15 per hour up to about 40 Å. Table 10.1A Yau - 9

Table 10.1 Oxide Applications: Field Oxide Purpose: Serves as an isolation barrier between individual transistors to isolate them from each other. Field oxide Transistor site p + Silicon substrate Comments: Common field oxide thickness range from 2,500 Å to 15,000 Å. Wet oxidation is the preferred method. Table 10.1B Yau - 10

Table 10.1 Oxide Applications: Gate Oxide Purpose: Serves as a dielectric between the gate and sourcedrain parts of MOS transistor. Gate oxide Gate Source Drain Transistor site p + Silicon substrate Comments: Growth rate at room temperature is 15 Å per hour up to about 40 Å. Common gate oxide film thickness range from about 30 Å to 500 Å. Dry oxidation is the preferred method. Table 10.1C Yau - 11

Table 10.1 Oxide Applications: Barrier Oxide Purpose: Protect active devices and silicon from follow-on processing. Barrier oxide Metal Diffused resistors p + Silicon substrate Comments: Thermally grown to several hundred Angstroms thickness. Table 10.1D Yau - 12

Table 10.1 Oxide Applications: Dopant Barrier Purpose: Masking material when implanting dopant into wafer. Example: Spacer oxide used during the implant of dopant into the source and drain regions. Dopant barrier spacer oxide Ion implantation Gate Spacer oxide protects narrow channel from high-energy implant Comments: Dopants diffuse into unmasked areas of silicon by selective diffusion. Table 10.1E Yau - 13

Table 10.1 Oxide Applications: Pad Oxide Purpose: Provides stress reduction for Si 3 N 4 Nitride Pad oxide Passivation Layer Bonding pad metal M-4 ILD-5 ILD-4 M-3 Comments: Thermally grown and very thin. Table 10.1F Yau - 14

Table 10.1 Oxide Applications: Implant Screen Oxide Purpose: Sometimes referred to as sacrificial oxide, screen oxide, is used to reduce implant channeling and damage. Assists creation of shallow junctions. Ion implantation Screen oxide p + Silicon substrate High damage to upper Si surface + more channeling Low damage to upper Si surface + less channeling Comments: Thermally grown Table 10.1G Yau - 15

Table 10.1 Oxide Applications: Insulating Barrier between Metal Layers Purpose: Serves as protective layer between metal lines. Passivation layer Interlayer oxide Bonding pad metal ILD-5 M-4 ILD-4 M-3 Comments: This oxide is not thermally grown, but is deposited. Table 10.1H Yau - 16

Thermal Oxidation Growth Chemical Reaction for Oxidation Dry oxidation Wet oxidation Oxidation Growth Model Oxide silicon interface Use of chlorinated agents in oxidation Rate of oxide growth Factors affecting oxide growth Initial growth phase Selective oxidation LOCOS STI Yau - 17

Oxide Thickness Ranges for Various Requirements Semiconductor Application Typical Oxide Thickness, Å Gate oxide (0.18 µm generation) 20 60 Capacitor dielectrics 5 100 400 1,200 Dopant masking oxide (Varies depending on dopant, implant energy, time & temperature) STI Barrier Oxide 150 LOCOS Pad Oxide 200 500 Field oxide 2,500 15,000 Table 10.2 Yau - 18

Dry Oxidation Time (Minutes) 10.0 (100) Silicon 1.0 0.1 0.01 10 10 2 10 3 10 4 Time (minutes) Yau - 19 1,200 C 1,100 C 1000 C 900 C 800 C 700 C Figure 10.6 Oxide thickness (µm)

Wet Oxygen Oxidation Gas panel Furnace Exhaust Scrubber Burn box HCl N 2 O 2 H 2 Figure 10.7 Yau - 20

Consumption of Silicon during Oxidation t 0.55t 0.45t Before oxidation After oxidation Figure 10.8 Yau - 21

Liquid-State Diffusion Figure 10.9 Yau - 22

Charge Buildup at Si/SiO2 Interface Silicon Oxygen Positive charge SiO 2 Silicon Used with permission from International SEMATECH Figure 10.10 Yau - 23

Diffusion of Oxygen Through Oxide Layer Oxygen supplied to reaction surface O, O 2 Oxygen-oxide interface SiO 2 Oxide-silicon interface Si Used with permission from International SEMATECH Figure 10.11 Yau - 24

Linear & Parabolic Stages for Dry Oxidation Growth at 1100ºC Oxidation thickness 4,000 Å 3,000 Å 2,000 Å 1,000 Å Approximate linear region } 100 200 300 400 500 Oxidation time (minutes) Used with permission from International SEMATECH Figure 10.12 Yau - 25

LOCOS Process 1. Nitride deposition Nitride 2. Nitride mask & etch Silicon 3. Local oxidation of silicon SiO 2 growth Pad oxide (initial oxide) Silicon Silicon SiO 2 SiO 2 Silicon 4. Nitride strip Nitride Silicon Silicon Cross section of LOCOS field oxide (Actual growth of oxide is omnidirectional) Figure 10.13 Yau - 26

Selective Oxidation and Bird s Beak Effect Silicon oxynitride Nitride oxidation mask Bird s beak region Selective oxidation Pad oxide Silicon dioxide Silicon substrate Used with permission from International SEMATECH Figure 10.14 Yau - 27

STI Oxide Liner 1. Nitride deposition 2. Trench mask and etch 3. Sidewall oxidation and trench fill Nitride Silicon Oxide over nitride Pad oxide (initial oxide) Silicon Silicon Silicon 4. Oxide planarization (CMP) 5. Nitride strip Oxide Trench filled with deposited oxide Sidewall liner Silicon Silicon Cross section of shallow trench isolation (STI) Figure 10.15 Yau - 28

Furnace Equipment Horizontal Furnace Vertical Furnace Rapid Thermal Processor (RTP) Yau - 29

Horizontal and Vertical Furnaces Performance Factor Performance Objective Horizontal Furnace Vertical Furnace Typical wafer loading size Clean room footprint Parallel processing Gas flow dynamics (GFD) Boat rotation for improved film uniformity Temperature gradient across wafer Particle control during loading/unloading Quartz change Wafer loading technique Pre-and postprocess control of furnace ambient Small, for process flexibility Small, to use less space Ideal for process flexibility Optimize for uniformity 200 wafers/batch 100 wafers/batch Larger, but has 4 process tubes Not capable Worse due to paddle and boat hardware. Bouyancy and gravity effects cause non-uniform radial gas distribution. Smaller (single process tube) Capable of loading/unloading wafers during process, which increases throughput Superior GFD and symmetric/uniform gas distribution Ideal condition Impossible to design Easy to include Ideally small Large, due to radiant shadow of paddle Small Minimum particles Relatively poor Improved particle control from top-down loading scheme Easily done in short More involved and slow Easier and quicker, leading time to reduced downtime Ideally automated Difficult to automate in a Easily automated with Control is desirable successful fashion Relatively difficult to control robotics Excellent control, with options of either vacuum or neutral ambient Table 10.3 Yau - 30

Horizontal Diffusion Furnace Photograph courtesy of International SEMATECH Photo 10.1 Yau - 31

Vertical Diffusion Furnace Photograph courtesy of International SEMATECH Photo 10.2 Yau - 32

Block Diagram of Vertical Furnace System Microcontroller Wafer handler controller Temperature controller Three-zone heater Heater 1 Heater 2 Quartz process chamber Gas panel Gas flow controller Boat loader Exhaust controller Heater 3 Quartz boat Process gas cylinder Wafer load/unload system Boat motor drive system Pressure Exhaust controller Figure 10.16 Yau - 33

Vertical Furnace Process Tube Heating jacket Three-zone heating elements Quartz tube End cap Figure 10.17 Yau - 34

Heater Element Power Distribution 204-480 VAC 3φ Heater element transformer Trigger circuit SCRs SCRs SCRs Zone 1 Zone 2 Zone 3 Furnace heater elements Used with permission from International SEMATECH Figure 10.18 Yau - 35

Locations of Thermocouples in the Furnace Chamber System controller Temperature controller Heater 1 Thermocouple measurements Profile TCs Control TCs Overtemperature TCs Heater 2 Heater 3 TC Figure 10.19 Yau - 36

Common Gases used in Furnace Processes Gases Classifications Examples Inert gas Argon (Ar), Nitrogen (N 2 ) Bulk Reducing gas Hydrogen (H 2 ) Oxidizing gas Oxygen (O 2 ) Silicon-precursor gas Silane (SiH 4 ), dichlorosilane (DCS) or (H 2 SiCl 2 ) Dopant gas Arsine (AsH 3 ), phosphine (PH 3 ) Diborane (B 2 H 6 ) Specialty Reactant gas Atmospheric/purge gas Ammonia (NH 3 ), hydrogen chloride (HCl) Nitrogen (N 2 ), helium (He) Other specialty gases Tungsten hexafluoride (WF 6 ) Table 10.4 Yau - 37

Burn Box to Combust Exhaust To facility s exhaust system Wet scrubber Excess combustible gas burns in hot oxygen rich chamber Gas from furnace process chamber Combustion chamber (burn box or flow reactor) O 2 O 2 Filter Residue Recirculated water Used with permission from International SEMATECH Figure 10.20 Yau - 38

Thermal Profile of Conventional Versus Fast Ramp Vertical Furnace Conventional Fast Ramp 1200 1200 Temperature ( C) 1000 800 600 Temperature ( C) 1000 800 600 400 0 20 40 60 80 100 120 140 160 180 400 0 20 40 60 80 100 120 140 160 180 Time (minutes) Time (minutes) Reprinted from the June 1996 edition of Solid State Technology, copyright 1996 by PennWell Publishing Company. Figure 10.21 Yau - 39

The Main Advantages of a Rapid Thermal Processor Reduced thermal budget Minimized dopant movement in the silicon Ease of clustering multiple tools Reduced contamination due to cold wall heating Cleaner ambient because of the smaller chamber volume Shorter time to process a wafer (referred to as cycle time) Yau - 40

Comparison of Conventional Vertical Furnace and RTP Vertical Furnace RTP Batch Single-wafer Hot wall Cold wall Long time to heat and cool batch Short time to heat and cool wafer Small thermal gradient across wafer Large thermal gradient across wafer Long cycle time Short cycle time Ambient temperature measurement Wafer temperature measurement Issues: Issues: Large thermal budget Temperature uniformity Particles Minimize dopant movement Ambient control Repeatability from wafer to wafer Throughput Wafer stress due to rapid heating Absolute temperature measurement Table 10.5 Yau - 41

Rapid Thermal Processor Setpoint voltages Heater head Temperature controller Wafer Pyrometer Axisymmetric lamp array Reflector plate Optical fibers Feedback voltages Figure 10.22 Yau - 42

Rapid Thermal Processor Photograph courtesy of Advanced Micro Devices, Applied Materials 5300 Centura RTP Photo 10.3 Yau - 43

RTP Applications Anneal of implants to remove defects and activate and diffuse dopants Densification of deposited films, such as deposited oxide layers Borophosphosilicate glass (BPSG) reflow Anneal of barrier layers, such as titanium nitride (TiN) Silicide formation, such as titanium silicide (TiSi 2 ) Contact alloying Yau - 44

Oxidation Process Pre Oxidation Cleaning Oxidation process recipe Quality Measurements Oxidation Troubleshooting Yau - 45

Critical Issues for Minimizing Contamination Maintenance of the furnace and associated equipment (especially quartz components) for cleanliness Purity of processing chemicals Purity of oxidizing ambient (the source of oxygen in the furnace) Wafer cleaning and handling practices Yau - 46

Thermal Oxidation Process Flow Chart Wet Clean Chemicals % solution Temperature Time Oxidation Furnace O 2, H 2, N 2, Cl Flow rate Exhaust Temperature Temperature profile Time Inspection Film thickness Uniformity Particles Defects Figure 10.23 Yau - 47

Process Recipe for Dry Oxidation Process Step Time (min) Temp (ºC) N 2 Purge Gas (slm) Process Gas N 2 O 2 HCl (slm) (slm) (sccm) Comments 0 850 8.0 0 0 0 Idle condition 1 5 850 8.0 0 0 Load furnace tube 2 7.5 Ramp 20ºC/min 8.0 0 0 3 5 1000 8.0 0 0 Ramp temperature up Temperature stabilization 4 30 1000 0 2.5 67 Dry oxidation 5 30 1000 8.0 0 0 Anneal 6 30 Ramp -5ºC/min 8.0 0 0 Ramp temperature down 7 5 850 8.0 0 0 Unload furnace tube 8 850 8.0 0 0 0 Idle Note: gas flow units are slm (standard liters per minute) and sccm (standard cubic centimeters per minute) Table 10.6 Yau - 48

Wafer Loading Pattern in Vertical Furnace 160 Calibration parameters: Boat size: 160 wafers Boat pitch: 0.14 inch Wafer size: 8 inches Elevator speed: 9.29 cm/min Cool down delay: 20 minutes 4 Filler (dummy) wafers 1 Test wafer 75 Production wafers 1 Test wafer 75 Production wafers 1 Test wafer 4 Filler (dummy) wafers 1 Used with permission from International SEMATECH Figure 10.24 Yau - 49