Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Similar documents
CL 240: Materials Technology

Physics and Material Science of Semiconductor Nanostructures

Chapter 2 Crystal Growth and Wafer Preparation

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

The lowest quality of silicon is the so- called metallurgical silicon. The source material of making metallurgical silicon is quartzite.

The Physical Structure (NMOS)

Lecture 2 Silicon Properties and Growth

PY2N20 Material Properties and Phase Diagrams

Fabrication Technology

Semiconductor device fabrication

Silicon for Wafer production

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Solar Photovoltaic Technologies

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

VLSI Design and Simulation

KGC SCIENTIFIC Making of a Chip

EE CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

H. Aülich PV Silicon AG Erfurt, Germany

Chapter 3 CMOS processing technology

Fabrication and Layout

Czochralski Crystal Growth

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Digital Systems Design

Silicon Manufacturing

Chapter 2 MOS Fabrication Technology

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 02, 2014 Lecture 01

Instructor: Dr. M. Razaghi. Silicon Oxidation

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

INTEGRATED-CIRCUIT TECHNOLOGY

Chapter 2 Manufacturing Process

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Mostafa Soliman, Ph.D. May 5 th 2014

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 04, 2012 Lecture 01

This Appendix discusses the main IC fabrication processes.

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

PROCESSING OF INTEGRATED CIRCUITS

Photovoltaics: BASICS

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Silicon Wafers: Basic unit Silicon Wafers Basic processing unit 100, 150, 200, 300, 450 mm disk, mm thick Current industrial standard 300 mm

VLSI Technology. By: Ajay Kumar Gautam

Wafer (1A) Young Won Lim 4/30/13

Chapter 3 Silicon Device Fabrication Technology

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

A trip inside a microchip: a sand grain with a big memory

MATERIALS. Silicon Wafers... J 04 J 01. MATERIALS / Inorganics & thin films guide

Making of a Chip Illustrations

Radiation Tolerant Isolation Technology

Crystalline Silicon Solar Cells

Silicon VLSI Technology. Fundamentals, Practice and Modeling

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

Chapter 5 Thermal Processes

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

KOREA UNIVERSITY. Ch. 4 Basics of device fabrication Reference: S. M. Sze, Semiconductor Devices, ISBN Photonics Laboratory

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

BASICS OF MANUFACTURING INTEGRATED CIRCUITS

Microelectronics Devices

Lecture 0: Introduction

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

Lecture 22: Integrated circuit fabrication

Microfabrication of Integrated Circuits

Complexity of IC Metallization. Early 21 st Century IC Technology

EELE408 Photovoltaics Lecture 02: Silicon Processing

EECS130 Integrated Circuit Devices

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION

IC/MEMS Fabrication - Outline. Fabrication

Micromachining vs. Soft Fabrication

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs

Why silicon? Silicon oxide

Lecture #18 Fabrication OUTLINE

Semiconductor Grade Silicon *

Monocrystalline Silicon Wafer Specification (Off-spec)

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

CMOS FABRICATION. n WELL PROCESS

Materials: Structures and Synthesis

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Mikrosensorer. Microfabrication 1

Microelectronic Device Instructional Laboratory. Table of Contents

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Graphite and C/C Products for Semiconductor & Solar Applications

From sand to silicon wafer

TOWARD MEMS!Instructor: Riadh W. Y. Habash

Sustainable Si production for solar cells a responsibility for Norway? (or how can Norway contribute to the PV industry in collaboration with China?

Fused-Salt Electrodeposition of Thin-Layer Silicon

Transcription:

Crystal Growth and Wafer Fabrication K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Crystal growth Obtaining sand Raw Polysilicon Czochralski Process (growing single crystal ingots) Ingot size and Characterization Wafer Fabrication Slicing Ingots Primary and Secondary Flats ( Orientation) Wafer Lapping Wafer Etching Wafer Polishing Wafer Cleaning MODULE-I IC TECHNOLOGY 2

Basic Process Steps for Wafer Preparation Crystal Growth Wafer Lapping and Edge Grind Cleaning Shaping Etching Inspection Wafer Slicing Polishing Packaging

Obtaining the Sand The sand used to grow the wafers has to be a very clean and good form of silicon. For this reason not just any sand scraped off the beach will do. Most of the sand used for these processes is shipped from the beaches of Australia. MODULE-I IC TECHNOLOGY 4

Preparation of MGS from Quartz Sand Put pure quartz sand and carbon into high- temperature furnace. Carbon can be in form of coal, coke or even piece of wood. At high temperature, carbon starts react with silicon di oxide to form carbon oxide. This process generates polycrystalline silicon with about 98% to 99% purity called crude or Metallurgical-grade silicon. The chemical reaction as follows SiO 2 + 2C Si + 2CO MODULE-I IC TECHNOLOGY 5

Silicon Purification Crude silicon is ground into fine powder. Then silicon powder is introduced into reactor to react with HCL(vapor), forming (TCS,SiHCl 3 ) vapor at about 300C. The chemical reaction as follows Si + 3HCl SiHCl 3 + H 2 TCS vapor then goes through series of filters, condensers, and purifiers to get ultra high-purity liquid TCS, with purity higher than 99.99999999%. MODULE-I IC TECHNOLOGY 6

Preparation of EGS form TCS High purity TCS is one of the most commonly used silicon source precursors for silicon deposition. At high temperature, TCS can react with hydrogen and deposit high purity polysilicon. The reaction as follows SiHCl 3 + H 2 Si + 3HCl The high purity polysilicon crystalline silicon is called electron grade silicon or EGS. MODULE-I IC TECHNOLOGY 7

Raw Polysilicon Raw polycrystalline silicon produced by mixing refined trichlorosilane with hydrogen gas in a reaction furnace. The poly-crystalline silicon is allowed to grow on the surface of electrically heated tantalum hollow metal wicks MODULE-I IC TECHNOLOGY 8

Polysilicon Ingots The polycrystalline silicon tubes refined by dissolving in hydrofluoric acid producing polysilicon ingots. Polycrystalline silicon has randomly oriented crystallites, electrical characteristics not ready for device fabrication. Must be transformed into single crystal silicon using crystal pulling MODULE-I IC TECHNOLOGY 9

Czochralski (CZ) crystal growing Step:1 Preparation of high purity molten silicon Step:2 Dipping Seed crystal Step:3 Pulling the seed upwards MODULE-I IC TECHNOLOGY 10

Czochralski (CZ) crystal growing All Si wafers come from Czochralski grown crystals. Polysilicon is melted, then held just below 1417 C, and a single crystal seed starts the growth. Pull rate, melt temperature and rotation rate control the growth It contains < 1 ppb impurities. Pulled crystals contain O (~10 18 cm -3 ) and C (~10 16 cm -3 ), plus dopants placed in the melt. MODULE-I IC TECHNOLOGY 11

Silicon Ingot Grown by CZ Method Photograph courtesy of Kayex Corp., 300 mm Si ingot Photo 4.1

Examples of completed ingots

Ingot Sizes Most ingots produced today are 150mm (6") and 200mm (8") diameter, For the most current technology 300mm (12") and 400mm (16") diameter ingots are being developed.

Ingot Characterization Single Crystal Silicon ingots are characterized by the orientation of their silicon crystals. Before the ingot is cut into wafers, one or two "flats" are ground into the diameter of the ingot to mark this orientation.

Crystal growth Obtaining sand Raw Polysilicon Czochralski Process (growing single crystal ingots) Ingot size and Characterization Wafer Fabrication Slicing Ingots Primary and Secondary Flats ( Orientation) Wafer Lapping Wafer Etching Wafer Polishing Wafer Cleaning MODULE-I IC TECHNOLOGY 18

Slicing Ingots The ingot is ground into the correct diameter for the wafers. Then it is sliced into very thin wafers. This is usually done with a diamond saw. MODULE-I IC TECHNOLOGY 19

Some wafers in storage trays MODULE-I IC TECHNOLOGY 20

Lattice Orientation The lattice orientation refers to the organized pattern of the silicon crystals in the wafer and their orientation to the surface. The orientation is obtained based on the orientation of the crystal that is placed into the molten silicon bath. The different orientations have different benefits and are used in different types of chips.

<100> Lattice Orientation This lattice orientation is used for MOS (metaloxide semiconductor), Bi-CMOS, & GaAs types of chips.

<111> Lattice Orientation This orientation is used for Bipolar types of chips

Different flats for orientation Wafer Flats - orientation for automatic equipment and indicate type and orientation of crystal. Primary flat The flat of longest length located in the circumference of the wafer. The primary flat has a specific crystal orientation relative to the wafer surface; major flat. Secondary flat Indicates the crystal orientation and doping of the wafer. MODULE-I IC TECHNOLOGY 24

Wafer Lapping The sliced wafers are mechanically lapped using a counter-rotating lapping machine and aluminum oxide slurry. This flattens the wafer surfaces, makes them parallel and reduces mechanical defects like saw markings MODULE-I IC TECHNOLOGY 25

Wafer Lapping Machine MODULE-I IC TECHNOLOGY 26

Wafer Etching After lapping, wafers are etched in a solution of nitric acid/ acetic acid or sodium hydroxide to remove microscopic cracks or surface damage created by the lapping process. The acid or caustic solution is removed by a series of high-purity RO/DI water baths MODULE-I IC TECHNOLOGY 27

Wafer polishing Next, the wafers are polished in a series of combination chemical and mechanical polish processes called CMP The wafers are held in a hard ceramic chuck using either wax bond or vacuum and buffed with a slurry of silica powder, RO/DI water and sodium hydroxide MODULE-I IC TECHNOLOGY 28

Wafer Cleaning A. Solvent Removal 1. Immerse in boiling trichloroethylene (TCE) for 3 min. 2. Immerse in boiling acetone for 3 min. 3. Immerse in boiling methyl alcohol for 3min. 4. Wash in DI water for 3min. B. Removal of Residual Organic/Ionic Contamination 1. Immerse in a (5:1:1) solution of H 2 O NH 4 OH-H 2 O 2 ;heat solution to 75-80 C and hold for 10min 2. Quench the solution under running DI water 1 min 3. Wash in DI Water for 5min. C. Hydrous Oxide Removal 1. Immerse in a (1:50) solution of HF-H2 O for 15 sec 2. Wash in running DI water with agitation for 30 seconds. D. Heavy metal clean 1. Immerse in a (6:1:1) solution of H 2 O-HCL-H 2 O 2 for 10 min at a temperature of 75-80 C 2. Quench the solution under running DI water for 1 min. 3. Wash in running DI water for 20min. MODULE-I IC TECHNOLOGY 29

Wafer Dimensions & Attributes Diameter (mm) Thickness ( m) Area (cm 2 ) Weight (grams/lbs) Weight/25 Wafers (lbs) 150 675 20 176.71 28 / 0.06 1.5 200 725 20 314.16 53.08 / 0.12 3 300 775 20 706.86 127.64 / 0.28 7 400 825 20 1256.64 241.56 / 0.53 13 Table 4.3

Increase in Number of Chips on Larger Wafer Diameters (Assume large 1.5 x 1.5 cm microprocessors) 88 die 200-mm wafer 232 die 300-mm wafer Figure 4.13

Developmental Specifications for 300-mm Wafer Dimensions and Orientation Parameter Units Nominal Some Typical Tolerances Diameter mm 300.00 0.20 Thickness (center point) Warp (max) m 100 Nine-Point Thickness Variation (max) m 775 25 m 10 Notch Depth mm 1.00 + 0.25, -0.00 Notch Angle Degree 90 +5, -1 Back Surface Finish Edge Profile Surface Finish FQA (Fixed Quality Area radius permitted on the wafer surface) Bright Etched/Polished Polished mm 147 From H. Huff, R. Foodall, R. Nilson, and S. Griffiths, Thermal Processing Issues for 300-mm Silicon Wafers: Challenges and Opportunities, ULSI Science and Technology (New Jersey: The Electrochemical Society, 1997), p. 139. Table 4.4

Quality Measures Physical dimensions Flatness Microroughness Oxygen content Crystal defects Particles Bulk resistivity