Electronics Design Center Equipment

Similar documents
EECS130 Integrated Circuit Devices

Chapter 3 Silicon Device Fabrication Technology

Lab #2 Wafer Cleaning (RCA cleaning)

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Mostafa Soliman, Ph.D. May 5 th 2014

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Complexity of IC Metallization. Early 21 st Century IC Technology

EE 330 Lecture 9. IC Fabrication Technology Part 2

Chapter 2 Manufacturing Process

Microfabrication of Integrated Circuits

The Physical Structure (NMOS)

Fabrication Technology

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

Chapter 2 MOS Fabrication Technology

Chapter 3 CMOS processing technology

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Czochralski Crystal Growth

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Department of Electrical Engineering. Jungli, Taiwan

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Fabrication and Layout

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

Silicon Manufacturing

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

This Appendix discusses the main IC fabrication processes.

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

KGC SCIENTIFIC Making of a Chip

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

CMOS Manufacturing process. Design rule set

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

User Fees for the 4D LABS Fabrication Facility

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Surface micromachining and Process flow part 1

TOWARD MEMS!Instructor: Riadh W. Y. Habash

Nanoelectronics Fabrication Facility

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

CX Thin Fil s. Resistors Attenuators Thin-Film Products Thin-Film Services. ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Schematic creation of MOS field effect transistor.

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

We get small. Micron-scale Circuits and Structures from Prototype through Production

National Nano Fabrication Centre (NNFC)

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Lecture #18 Fabrication OUTLINE

Microelectronic Device Instructional Laboratory. Table of Contents

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thermal Evaporation. Theory

EE 434 Lecture 9. IC Fabrication Technology

Preface Preface to First Edition

Lecture 22: Integrated circuit fabrication

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

L5: Micromachining processes 1/7 01/22/02

How To Write A Flowchart

Lecture 0: Introduction

Semiconductor device fabrication

Physical Vapor Deposition (PVD) Zheng Yang

Silicon Wafer Processing PAKAGING AND TEST

III Fabrication of the samples

VLSI Digital Systems Design

PROCESSING OF INTEGRATED CIRCUITS

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

VLSI Design and Simulation

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Making of a Chip Illustrations

Fabrication and Layout

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Chapter 2 OVERVIEW OF MEMS

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Lecture 1A: Manufacturing& Layout

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Process Flow in Cross Sections

INTEGRATED-CIRCUIT TECHNOLOGY

Manufacturing Technologies for MEMS and SMART SENSORS

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Alternative MicroFabrication and Applications in Medicine and Biology

Mikrosensorer. Microfabrication 1

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Transcription:

Equipment The contains a variety of equipment used in the production, packaging and testing of microfabricated devices. The major equipment is located in one of three labs, the Room 21 fabrication laboratory, the Room 32 thick film clean room or the Room 32 thin film clean room. Smaller pieces of equipment are located in the Room 14 electronics lab, the Room 18 machine shop or the Room 29/30 testing labs.

Room 21 Processing Lab Room 21 is non-cmos compatible micro fabrication lab it contains: Furnaces - for annealing, boron and phosphorous doping and wet oxide deposition Fume Hood for RCA and Piranha cleaning, photoresist spinning and silicon or metal etching Laurell Spinner for spinning up to 8 inch diameter wafers with high torque motor and spindle for thick resists. Computer programmable with storage for recipes. Wenesco 12 inch x 12 inch programmable hot plate for curing material on wafers Wafer Spin Rinser for cleaning and drying wafers Microscope for inspection Double sided IR aligner for photolithography

Laurell Single Wafer Spinner with high torque motor for thick resists The spin processor housing has been machined from solid virgin grade materials which do not degrade or generate particles. The bowl-shaped interior forces fluid downward where it is routed directly to the rear drain. The upper plenum closes inside the base providing an overlapping seal. It spins fragments to 200 mm diameter wafers, is digitally processed controlled with computer interface for programming and storage of recipes. This tool includes a universal wafer alignment tool, a programmable 30 ml syringe feed dispenser and a high performance motor and spindle for high acceleration and higher mass. This is especially useful for spinning of thick resists such as SU-8.

Wenesco Hot Plate Wenesco hot plate model HP1212- a programmable hotplate that has a a 12 inch x 12 inch plate size. It is used for curing photoresists and other materials that have been applied to wafers.

The ABM Photomask Aligner Aligners are used to transfer two dimensional patterns on quartz photomasks to photosensitive films on wafers. The aligner works with a photo mask in physical contact with a wafer and uses an ultraviolet lamp for exposure. The mask has dark (opaque) and light (transparent) field areas which are aligned accurately over the wafer. When exposed to UV light, the patterns of the dark and light field cause a chemical reaction in the resist, making the material sensitive or insensitive to a developer solution. This process is similar to photographic exposure. The aligner is located under a laminar flow hood. The ABM Aligner is a photomask aligner with backside IR alignment for double sided alignment

Thermco Mini-Brute Annealing Furnace Mini-Brute Model MB-80 this quartz tube furnace is used for annealing and may be backfilled with nitrogen or supplied with other gases during the process. Annealing is a heat treatment wherein the microstructure of a material is altered, causing changes in its properties such as strength and hardness. Annealing consists of heating the material and then cooling it very slowly and uniformly; the time and temperatures required in the process are set according to the properties desired. Annealing increases ductility and lessens the possibility of a failure by relieving internal strains.

The MRL Furnace Stack This three tube furnace is manufactured by MRL industries. It is used for boron and phosphorous doping and wet oxide deposition. Silicon wafers are heated, so that dopant atoms, usually boron, phosphorus or arsenic can be incorporated into substitutional positions in the crystal lattice, resulting in drastic changes in the electrical properties of the semiconducting material. Wet oxidation is the formation of SiO2 on a silicon surface through a process called thermal oxidation. Thermal oxidation is a technique that uses extremely high temperatures (usually between 700-1300 deg C) to promote the growth rate of oxide layers. The thermal oxidation of SiO2 consists of exposing the silicon substrate to an oxidizing environment of O2 or H2O at elevated temperature, producing oxide films whose thicknesses range from 60 to 10000 angstroms.

Room 32 Thick Film and Thin Film Labs Room 32 is divided into two clean rooms The Thin film Clean room contains: Discovery 18 Sputtering System Explorer 14 Sputtering System DekTak 3 ST Surface Profiler Prometrix RS35 Resistivity Mapping System The Thick film Clean room contains: Thick film printers Asymtek Inkjet printer Spin Coater Laser profileometer Microscope for inspection, rheometer, oven and furnace

Prometrix RS35 Resistivity Mapping System The Prometrix RS35 is a 4-point probe resistivity measuring device. It provides 3-D mapping and contour profiles of resistivity on wafers that range in size from 2 to 8 inches. It can measure resistivity of metal films, lightly doped implanted layers on silicon and other conducting materials. Provides accurate and repeatable sheet resistance measurements from 5m ohms/sq to 5M ohms/sq. Measures up to 1264 sites per wafer using standard or userdefined patterns, and displays test results in the form of contour maps

Dektak 3 ST Surface Profiler Dektak 3 ST Surface Profiler - Measuring System The Dektak 3 ST is a surface profiling system capable of measuring surface textures and variations in the submicro-inch range to a sample thickness of 131 microns. By way of a diamond-tipped stylus, samples are analyzed under it on a moving stage according to parameters set by the user (scan length and time). As the stylus traverses the pre-determined length of the sample surface, surface variations are recorded. The data recorded can then be analyzed (up to 30 functions per scan) depending on user interests. These parameter capabilities include: roughness, waviness, step height and geometry (area, radius, slope, etc.). The graphic screen display exhibits data plot and live video imaging. Vertical Resolution: 1Ǻ/65KǺ, 10Ǻ,/655KǺ, 20Ǻ/1,310KǺ Scan Length Range: 50 microns to 50 mm (2 mils to 2.5 inches) Stylus: Diamond, 2.5 micron radius Stylus Tracking Force: Programmable, 1 mg to 40 mg (0.1-0.4 millinewton)

The Denton Vacuum Explorer 14 Sputtering is a vacuum process used to deposit very thin films on substrates. It is performed by applying a high voltage across a low-pressure gas (usually argon at about 5 millitorr) to create a plasma which consists of electrons and gas ions in a high-energy state. During sputtering, energized plasma ions strike a target, composed of the desired coating material, and cause atoms from that target to be ejected with enough energy to travel to, and bond with, the substrate. This sputtering unit used RF sputtering to sputter insulators only. The thickness of the films range 100 Å to 2 μm. Typical thicknesses are in the range of 2000 to 5000 Å. In stock targets for sputtering : Aluminum Oxide (Al 2 O 3 ), Boron (B), Boron Nitride (BN), Silicon (Si), Silicon Dioxide (SiO 2 ), Silicon Carbide (SiC)

The Denton Vacuum Discovery 18 Sputtering is a vacuum process used to deposit very thin films on substrates. It is performed by applying a high voltage across a low-pressure gas (usually argon at about 5 millitorr) to create a plasma which consists of electrons and gas ions in a high-energy state. During sputtering, energized plasma ions strike a target, composed of the desired coating material, and cause atoms from that target to be ejected with enough energy to travel to, and bond with, the substrate. This sputtering unit sputters metals only. The thickness of the films range 100 Å to 15 μm. Typical thicknesses are in the range of 5000 Å to 1 μm. In stock targets for sputtering : Gold (Au), Palladium (Pd), Platinum (Pt), Silver (Ag), Aluminum (Al), Aluminum/Silicon/Copper, Copper (Cu), Iridium (Ir), Molybdenum (Mo), Nickel Chromium (80:20 NiCr), Doped Silicon, Tantalum (Ta), Zirconium (Zr)

The MPM TF-100 Thick Film Printer Thick film printing, or screen printing, is an additive process in which a thick film (typically 10 μm to 1 mm) is deposited on a substrate through a mask. The smallest feature size is around 200 microns. Thick film printing is used extensively in the hybrid circuit industry. It is also used to make electrochemical and gas sensors, miniature fuel cells and printed batteries. The TF-100 printer can process on a maximum plate size of 4.5 inches x 4.5 inches. Thick film inks available include: gold, platinum, silver, palladium, carbon and dielectric insulators.

Asymtek Century C-730 Inkjet Printer Ink Jet Deposition is a means of depositing material using a valve that either sprays material through a nozzle or forces material through a needle onto a base. It is a non-contact process. Thickness of film from several microns to several mm thick. Ink jet printing is excellent for depositing highly reproducible dots for active sensing material. It is also used extensively for sensor packaging i.e. contacts, chip under fill.

Miscellaneous Equipment The production, packaging and testing of micro fabricated devices requires a great deal of equipment. Other equipment available in the includes: Wire bonder Wafer dicing machine Spot Welder Soldering Stations Probing Stations Laser cutting machine for plastics

Kulicke and Soffa Wire Bonder Wire bonding is an electrical interconnection technique using thin wire and a combination of heat, pressure and/or ultrasonic energy. Wire bonding is a solid phase welding process, where the two metallic materials (wire and pad surface) are brought into intimate contact. Once the surfaces are in intimate contact, electron sharing or interdiffusion of atoms takes place, resulting in the formation of wirebond. The Kulicke and Soffa Model 4123 manual wire wedge bonder is used for ultrasonic and heated gold or aluminum wire bonding. The wire is 25 μm in diameter. Ultrasonic welded 25 μm Al wire to IC Chip High Magnification of wire on chip pad

DISCO DAD3350 Dicing Saw The DAD3350 (maximum work piece size 8 diameter) has been designed to process a wide variety of materials The dicing saw is used to dice silicon, Pyrex, quartz and alumina substrates into individual devices.

Versa Laser 2.30 The Versa Laser 2.30 for material processing. The VLS2.30 is well suited to prototype development. It has a 476 x 370 x 102 mm maximum part size. Useful for cutting plastics, wood and paper. It can be used to mark glass, plastic and metal.

4 Point Probe Four-Point Probe is used for measuring sheet resistance on substrates or small pieces of material. Parameters such as film thickness, sheet resistance, and bulk resistivity can be evaluated using the Four- Point Probe.

Spot Welder Spot welding is a process in which contacting metal surfaces are joined by the heat obtained from resistance to electric current flow. Work pieces are held together under pressure exerted by electrodes. The process uses two shaped copper alloy electrodes to concentrate welding current into a small "spot" and to simultaneously clamp the sheets together. Forcing a large current through the spot will melt the metal and form the weld. The spot welder is useful to welding together thermocouple wires, platinum wire to screen connections, and electrode connections.