Key Technologies for Next Generation EUV Lithography

Similar documents
EUV patterning improvement toward high-volume manufacturing

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Progress in EUV resist development

Lithography options for the 32nm half pitch node. imec

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Pattern Dependent Satellite Defects in Via Lithography

EUV Products and Business Opportunity

EUV Products and Business Opportunity

Photolithography I ( Part 2 )

EUV Mask Defect Reduction : Status and Challenges

Lessons Learned from SEMATECH s Nanoimprint Program

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Development of block copolymer systems for directed self assembly at the University of Queensland

Czochralski Crystal Growth

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Advanced Lithography Updates and Challenges for Metrology and Inspection

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Towards cost-effective and low defectivity DSA flows for line/space patterning

Microbridge reduction in negative tone imaging at photoresist pointof-use

2006 UPDATE METROLOGY

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Industry Roadmap and Technology Strategy

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Micro- and Nano-Technology... for Optics

Annual General Meeting of Shareholders. 24 March 2010

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Next Generation Source Power Requirements. Erik R. Hosler

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Advanced developer-soluble gap-fill materials and applications

Development Status of EUVL Blank and Substrate

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

Introduction to Nanoscience and Nanotechnology

A simulation analysis on defect annihilation in directed self-assembly lithography

A novel 248-nm wet-developable BARC for trench applications

Integrated Process Technology Development for the sub 7nm Era

NIL defect performance toward High volume mass production

Directed Self Assembly of Block Copolymers

2008 Summer School on Spin Transfer Torque

Photoresist-induced development behavior in DBARCs

Hybrid BARC approaches for FEOL and BEOL integration

FIB mask repair technology for EUV mask 1. INTRODUCTION

Control technology of EUV Optics Contamination:

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

EUV Masks: Remaining challenges for HVM

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Overview of Dual Damascene Cu/Low-k Interconnect

EUV optics lifetime Radiation damage, contamination, and oxidation

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Roadmap in Mask Fab for Particles/Component Performance

Lam Research Corporation

Lecture #18 Fabrication OUTLINE

Microfabrication of Integrated Circuits

EUV Transmission Lens Design and Manufacturing Method

Complexity of IC Metallization. Early 21 st Century IC Technology

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

Mask Defect Auto Disposition based on Aerial Image in Mask Production

High-resolution defect metrology for silicon BARC analysis

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Fullerene Resists. Optimizing RLS. J. Manyam, R.E. Palmer, A.P.G. Robinson Nanoscale Physics Research Laboratory, The University of Birmingham

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Technical Data Sheet Technisches Datenblatt

Advanced Polymers And Resists For Nanoimprint Lithography

Recent Innovations in ArF Sumiresist

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

New materials for surface energy control of 193 nm photoresists

ZERO DEFECTS Entegris Newsletter

FEL Irradiation Tolerance of Multilayer Optical System

Resist Characterization for EUV- Lithography

IC/MEMS Fabrication - Outline. Fabrication

Ultra High Barrier Coatings by PECVD

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Creating a New TEL: Key Initiatives. Tetsuro Higashi Representative Director, President & CEO July 10, 2015

Lecture 22: Integrated circuit fabrication

Photoresist and Process Issues on 193nm Immersion Lithography

Nanotechnology makes brighter LED s. Michael P.C. Watts

Chapter 3 Resist Leaching and Water Uptake

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

White Rose Research Online URL for this paper: Version: Accepted Version

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

EUVL Advancements Toward HVM Readiness

EUV Transmission Lens Design and Manufacturing Method

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

UV15: For Fabrication of Polymer Optical Waveguides

Transcription:

Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 1

Contents Process requirements for EUV lithography EUV pattern roughness and shape control EUV resist sensitivity and contrast enhancement EUV resist defect reduction Summary Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 2

Process requirements for EUV lithography Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 3

EUV lithography patterning requirements TEL CLEAN TRACK LITHIUS Pro Z Coater/Developer ASML NXE EUV exposure tool Smoothing by etching LER(roughness) /Local pattern fidelity Resolution Pattern defects Higher resist contrast Defect reduction by CLEAN TRACK Resist sensitization EUV Sensitivity Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 4

EUV patterning performance improvement using equipment set Coater/Developer Coat EUV Exposure Develop Plasma etch system Single wafer deposition system Thermal processing system Deposition Dry Etch Cleaning system Anneal Wet Clean Surface Planarization Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 5

SPIE 2017 joint paper EUV pattern roughness and shape control From SPIE Vol. 10143, 1014315 (2017) TEL, ASML, imec and SPIE Vol. 10149, 101490N (2017) TEL, ASML, imec Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 6

EUV lithography overall patterning optimization TEL CLEAN TRACK LITHIUS Pro Z Coater/Developer ASML NXE EUV exposure tool EUV Source Mask Optimization (SMO) with ASML EUV source shape Co-optimization of lithographic and patterning processes is the key for improved EPE performance by EUV lithography Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 7

EUV stochastic events enhances pattern roughness Optical Photons/nm 2 for 15 mj/cm 2 193-i EUV Chemical Resist film :Polymer :Protection group :Un-protected (hydrophilic) group :PAG De-protection photon 145 10 H + H + e - H + H + Exposed region Un-exposed region Exposed region Un-exposed region Dissolution H + H + H + Polymer-aggregation M. Krysak et al., SPIE Proc. 7639, 76392C (2010) M. Krysak et al., SPIE Proc. 72732, 72732N (2009) Stochastic events induced by limited numbers of photons, secondary electrons and chemical spices in a small pattern causes pattern roughness Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 8

CD variation on EUV via pattern 16.5 17 17.5 18 18.5 19 19.5 20 20.5 21 21.5 22 22.5 23 23.5 24 24.5 25 25.5 26 26.5 27 CD (nm) CD variation in EUV lithography by shot noise effect is a big challenge Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 9

Micro-loading effects during plasma treatment Etching rate Deposition rate Small open area Etch rate : Slow Depo rate : Slow Large open area Etch rate : Fast Depo rate : Fast Narrow space Wide space Solid angle Micro-loading effect for etching and deposition can be used for CD healing and control Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 10

CD-healing effect on EUV pattern by plasma treatment ADI 2 1.5 Contact Edge Roughness: CER (nm) vs CD Ave.CER = 0.8 nm ADI AHI AHI CER (nm) 1 Ave.CER = 0.5 nm 0.5 3σ = 2.9 nm 0 16 18 20 22 24 26 28 AHI : after healing inspection CD (nm) 3σ = 3.4 nm CDU and CER can be greatly improved by plasma treatment in a etcher Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 11

Global CDU improvement for EUV lithography by CD healing ADI (Lithography) AEI (Etching only) AHI (CD healing) AHI + DOMA (CD healing with litho CD control) CDU: 1.95 nm CDU: 2.13 nm CDU: 1.77 nm CDU: 1.07 nm DOMA: Dose mapper by ASML Global CD uniformity can be improved with DOMA and CD healing (AHI) Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 12

EUV CDU improvement on short trench by plasma treatment ADI Post shrink CDU on CDx CDU on CDy 12 12 : ADI B-2 B-3 10 CDx 10 CDy : post shrink B-1 27.3nm 11.0nm Local CDU(nm) 8 6 4 8 6 4 2 2 0 B-1 B-2 B-3 0 B-1 B-2 B-3 Stochastics pattern fidelity errors can be mitigated by plasma treatment in a etcher Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 13

CD control on short trench for line-cutting by plasma treatment 21 nm ADI (EUV) 27.3 nm Hole shrink process scheme by etching tool Cut pattern shape control is important for wider process margin Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 14

Hole / trench shape control realized by plasma treatment in etcher Circularized Shortened Oval pattern Short trench pattern Keeping original shape Keeping original shape Cut pattern shape control is possible by tuning x/y etching/depo ratio Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 15

SPIE 2017 joint paper EUV resist sensitivity and contrast enhancement From SPIE Vol.10146,101460G (2017): TEL, JSR, imec, Osaka University, Synopsys, PSI Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 16

EUV lithography faces sensitivity and stochastic effect tradeoff Photon distribution Resist patterns z x y Pitch = 32 nm PSCAR can be one of the solutions of sensitivity enhancement and shot noise mitigation Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 17

2.0 components Protected polymer Photosensitizer precursor (PP) PS-PAG PS-PDB (quencher) New for 2.0 2.0 *PS-PAG: Photo-acid generator which can be photosensitized *PS-PDB: Photo-decomposable base type quencher which can be photosensitized Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 18

EUV dose reduction by sensitization using 2.0 2.0 PSCAR 2.0 with PS-PDB shows good sensitization Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 19

Simulation of higher chemical contrast by 2.0 16nm HP L/S After EUV exposure (20 mj/cm 2 ) Acid neutralization Flood exposure (No flood) After PEB (Quencher) PDB CAR Quencher loading: 0.0793 Protected polymer (m) dm/dx = 0.058 After EUV exposure (20 mj/cm 2 ) Acid neutralization & PS generation Flood exposure (1510 mj/cm 2 ) After PEB x2.15 PS-PDB PSCAR 2.0 Quencher loading: 0.60 (Quencher) Protected polymer (m) dm/dx = 0.125 BETTER Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 20

Line width roughness (LER) reduction by 2.0 16 nm L/S EUV exposure dose: Fixed at 20 mj/cm 2. Flood exposure dose: 0 J/cm 2 for CAR; 5 J/cm 2 for PSCAR. Quencher amounts are optimized to get 16 nm HP. With PDB (Photo Decomposable Base) quencher LER (nm) Stochastic Simulation by S-Litho prototype CAR 2.0 PSCAR 2.0 with PS-PDB has a potential to reduce LWR Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 21

SPIE 2017 joint paper SPIE 2017 joint paper EUV resist defect reduction From SPIE Vol.10143, 1014326 (2017) TEL, imec SPIE Vol.10143, 101430 (2017) IBM Research, TEL Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 22

Major types of pattern defects in EUV lithography: 24 nm HP CH Residue defect In-film particle Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 23

EUV defect budget analysis: 24 nm HP CH After development inspection(adi) ADI Defect Budget Others 1% After etch inspection (AEI) AEI Defect Budget Others 2% CLEAN TRACK LITHIUS Pro Z-EUV and NXE:3300B In-film particle 44% Residue defect 55% In-film particle 52% Residue defect 46% Tactras for etching Residue defect and in-film particle are the dominant before and after etching Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 24

EUV resist defect transfer after etching ADI stack Resist SOG (10 nm) SOC (75 nm) Si-Ox (20 nm) TiN (15 nm) Si-Ox (20 nm) Si Normalized defectivity 1.4 1.2 1 0.8 0.6 0.4 0.2 0 ADI Other In-film particle Residue AEI Increase of in-film particle 100% transfer of residue AEI stack Si-Ox TiN Si-Ox Si 100% of residue defect was transferred to AEI Increased in-film particles was transferred to AEI Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 25

Approach for residue defect reduction Rinse process EUV resist: Higher contact angle than immersion resist after development Dry Residue Dry Non-optimal Optimal Remaining water causes residue defects Rinse process after development was optimized for residue defect reduction Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 26

Approach for in-film particle reduction Resist SOG SOC Underlayer In-film particle Defect Resist SOG SOC Underlayer SOG SOC Underlayer Defect count increases from ADI to AEI Defect Resist dispense SOC Underlayer Defect Defect Conventional NDS New Dispense System(NDS) reduces coating process defects Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 27

Residue defect and in-film particle reduction result after applying optimized rinse and NDS 1.4 Others In-film particle Residue Normalized defectivity 1.2 1.0 0.8 0.6 0.4 73% reduction 72% reduction 79% 79% 69% 69% In-film particle 42% Others 10% Residue defect 48% 0.2 0.0 Conv. Dispense Rinse & Opt. Rinse NDS& NDS Conv. + Flow + C Conv. Flow rinse A Optimized rinse ADI Conv. Dispense Rinse & Opt. Rinse NDS& NDS Conv. + Flow + C Conv. Flow rinse A Optimized rinse AEI Two dominant defects were reduced over 70% by combining optimized rinse and NDS Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 28

Conditions o EUV Scanner : NXE3300B o Resist: EUV Resist A o Pattern: 18 nm L/S EUV pattern collapse mitigation by rinse process optimization Enabling EUV resist features with aspect ratio >1 through development of rinse materials This work was performed by the Research Alliance Teams at various IBM Research Facilities Pattern collapse comparison: SEM images P Target CD -1nm -2nm -3nm -4nm -5nm -6nm DIW DIW Rinse A Material Material A (Conventional) (Conventional) Pattern collapse margin Rinse New Rinse MMaterial aterialc DIW Rinse material A CD uniformity with rinse material C Rinse material C Rinse Material A Rinse Material C CD delta map Pattern collapse margin can be improved without impact in CDU Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 29

Summary Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 30

Summary EUV patterning challenges and TEL s solutions were reviewed. TEL s plasma treatment technology in a etcher provides pattern CD(CDU/CER) healing capability and shape control capability. EUV resist sensitization and resist contrast enhancement activity is ongoing. CLEAN TRACK LITHIUS Pro Z reduces pattern defects and pattern collapse issues with newly introduced technologies. TEL will continue to provide new technologies to support EUV HVM including EUV specific stochastic events. Toshi Nishigaki / Tokyo Electron Limited / September 15, 2017 / IC Forum, SEMICON TAIWAN 2017 31