A novel 248-nm wet-developable BARC for trench applications

Similar documents
A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

Development of 193-nm wet BARCs for implant applications

Photoresist-induced development behavior in DBARCs

Advanced developer-soluble gap-fill materials and applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Hybrid BARC approaches for FEOL and BEOL integration

Understanding. Brewer Science

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

Pattern Dependent Satellite Defects in Via Lithography

Photolithography I ( Part 2 )

Technical Data Sheet Technisches Datenblatt

Czochralski Crystal Growth

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Effects of carbon/hardmask interactions on hardmask performance

Improving material-specific dispense processes for low-defect coatings

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Key Technologies for Next Generation EUV Lithography

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Dow Corning WL-5150 Photodefinable Spin-On Silicone

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Hybrid high refractive index polymer coatings

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

AZ P4620 Photoresist Data Package

In-situ Metrology for Deep Ultraviolet Lithography Process Control

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Microelectronic Device Instructional Laboratory. Table of Contents

Introduction to Nanoscience and Nanotechnology

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Lithography Tool Package

Photoresist and Process Issues on 193nm Immersion Lithography

micro resist technology

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

Photolithography Process Technology

High-resolution defect metrology for silicon BARC analysis

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Fabrication Technology, Part I

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Major Fabrication Steps in MOS Process Flow

New materials for surface energy control of 193 nm photoresists

Introduction to Lithography

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

UV15: For Fabrication of Polymer Optical Waveguides

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Supplementary Information. for

Advanced Polymers And Resists For Nanoimprint Lithography

micro resist technology

Journal of Advanced Mechanical Design, Systems, and Manufacturing

UHF-ECR Plasma Etching System for Gate Electrode Processing

Ellipsometry as a tool for identifying process issues in roll-to-roll sputter deposited metal-oxide coatings

AR NEWS 24 nd issue, April 2012

Process Flow in Cross Sections

ECE321 Electronics I

Fabrication Techniques for Thin-Film Silicon Layer Transfer

MEMS Surface Fabrication

A Nano-thick SOI Fabrication Method

Towards cost-effective and low defectivity DSA flows for line/space patterning

EUV patterning improvement toward high-volume manufacturing

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000

Ultrasensitive and Highly Stable Resistive Pressure Sensors with. Biomaterial-Incorporated Interfacial Layers for Wearable

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

RIE lag in diffractive optical element etching

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

300mm Wafer Stain Formation by Spin Etching

Review of CMOS Processing Technology

Processing guidelines

ise J. A. Woollam Ellipsometry Solutions

Supporting Information

Semiconductor Technology

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

Fabrication of sub-100nm thick Nanoporous silica thin films

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH

Development of block copolymer systems for directed self assembly at the University of Queensland

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

Lect. 2: Basics of Si Technology

SUPPLEMENTAL INFORMATION: 1. Supplemental methods 2. Supplemental figure legends 3. Supplemental figures

Transcription:

A novel 248-nm wet-developable BARC for trench applications Charles J. eef & Deborah Thomas Brewer Science, Inc., 2401 Brewer Dr., Rolla, M, 65401 USA ABSTRACT A novel polyamic acid based, 248-nm wet-developable BARC has been prepared to improve structure clearout and lessen post-development residue. This material showed an excellent process window and controllable development rates that can be achieved by simply changing the formulation. It is a highly absorbing BARC with n and k values equal to 1.73 and 0.49, respectively. Lithography with this material has shown 180-nm dense profiles with P338 and M230Y. These profiles exhibited minimal undercutting with good clearing between the lines. Clear-out has been demonstrated for 120-nm trenches. Post-development residue of the material was tested at various temperatures and was determined to be 6 Å or less. In addition, sublimation was evaluated. Key words: wet developable, BARC, 248 nm 1. ITRDUCTI As critical dimensions continue to shrink in lithography, new materials will be needed to meet the new demands imposed by this shrinkage. For example, new materials will be needed for implant applications. The traditional approach for implant has been to use photoresist with a top anti-reflective coating (TARC). However, TARCs have limited critical dimension (CD) control and do not control reflective notching. BARCs are better choices to improve CD control, reduce reflective notching, and eliminate standing waves. Dry BARCs are unacceptable for implant applications though. The process required to dry-etch a BARC could damage the surface. To overcome the problems of TARCs and dry BARCs, a wet-developable BARC is needed. Wet-developable BARCs have received interest due to their possibility of improving yields compared to TARCs 1.2.3. A wetdevelopable BARC would provide better CD control and reduce reflective notching compared to a TARC. It also eliminates the need for the plasma etch for dry BARCs. The major requirements of the new material will

be complete clear-out of trench structures and reduced post-development residue. This paper will describe a new wet-developable material for 248-nm lithography. 2. EXPERIMETAL 2.1 Materials The materials used in this study were blends of polyamic acid, chromophore, and epoxy crosslinker. The polyamic acid was prepared by reacting 3,3,4,4 -diphenylsulfone tetracarboxylic dianhydride with a diamine. The chromophore was prepared by reacting trisepoxypropyl isocyanurate with the appropriate aromatic carboxylic acid (Figure 1). + H Ar Ar H H H Ar Figure 1. Synthesis of chromophore. Ar 2.2 ptical Properties ptical constants, n and k, were measured with a J.A. Woollam variable-angle spectroscopic ellipsometer (VASE ). The optical constants generated from the VASE were used to create a simulated reflectivity curve with PRLITH version 7.2 software (KLA-Tencor Corp.). The reflectivity curve was used to determine the minimum reflectivity on silicon substrates. 2.3 Dissolution Rates The film dissolution rates were measured using a dissolution rate monitor (DRM) with a standard 0.26 tetramethyl ammonium hydroxide (TMAH) solution. The DRM used in the experiment was a LithoTech Japan model 790, which uses 470-nm light to detect thickness change and the total develop time. The developer temperature was controlled at 21.2 C using a circulation chiller.

2.4 Sublimation After the BARC was spin-applied onto the wafer, it was placed onto a metal plate with thermal couple and a funnel-shaped enclosure placed over the wafer (Figure 2). Air was drawn over the wafer at a rate of 2 L/m into an absorption tube. The absorption tube was removed and placed into a methanol wash for 20 hours to remove the sublimate. The methanol solution was then analyzed by HPLC to quantify the amount of sublimate. Figure 2. Sublimation apparatus. 2.5 Profiles Each sample was evaluated for lithographic performance at IMEC. Each sample was hand dispensed and spin coated to the appropriate thickness (from the reflectivity curve for silicon) and baked for 60 seconds. The BARC was coated with the appropriate photoresist. The photoresist was then processed under the recommended conditions and was then treated with TMAH developer for 60 seconds. Wafers were then cleaved, and cross-sectional SEM micrographs taken at Brewer Science. 3. Results and Discussion 3.1 Material Characterization 3.1.1 ptics ptics, n and k, were measured on a VASE and determined to be 1.72 and 0.49, respectively. These values were then used in PRLITH simulations to determine the reflectivity curve (Figure 3). In Figure 3, the first minimum, first maximum, and second minimum were determined to be 450, 750, and 1150 Å, respectively. The lowest amount of reflectivity, 0.3%, was observed at 450 Å, which is typical of a highly

absorbing material. Samples were prepared at this thickness for further testing and were designated as BSI.W05041S, BSI.W05041R, and BSI.W05041Q. 3.1.2 Dissolution Rate Dissolution rates were determined on films of BSI.W05041R that were spin cast on silicon wafers and baked at various temperatures for 60 seconds. The dissolution rate versus temperature curve is shown in Figure 4. In general, the dissolution rate decreased as the temperature increased. However, there was a temperature range of about 10ºC, centered at about 190ºC, where the dissolution rate changed little. This 10ºC degree temperature range creates a broad process window for the BARC and helps with lithography and clear-out from structures [discussed later in this paper]. Figure 3. PRLITH simulations of 248-nm wet-developable BARC with n and k values of 1.72 and 0.49, respectively. Figure 4. Development rate versus temperature for BSI.W.05041R.

3.1.3 Sublimation In-house sublimation testing was performed with BSIW.05041R. Samples were prepared by spin application of the material onto a silicon wafer. The wafer was then placed into the chamber and heated, and the sublimate collected. The sublimate was analyzed by HPLC to determine the amount. Figure 5 shows the amount of sublimate of BSI.W05041R at 180º, 190º, and 200ºC to be 0.005, 0.008, and 0.011 µg/nm, respectively. Compared to known low-subliming BARCs, BSI.W05041R was also low subliming. Low sublimation indicates complete attachment of the chromophore to the trisepoxypropyl isocyanurate and lowers the possibility of defects during lithography. Figure 5. Sublimation results of BSI.W05041R. 3.1.4 Residue BSI.W05041R was spin applied to a silicon wafer and was then baked at various temperatures (170º, 180º, and, 200ºC) for 60 seconds. The thickness of the film was measured on the VASE. The material was then developed with 0.26 TMAH for 60 seconds. The thickness of the film was again measured. Figure 6 shows the results. Thicknesses of 2, 3, and 6 Å were observed for 180º, 190º, and 200ºC, respectively. The increase in post-develop residue with temperature is typical of a polyamic acid based material and may be due to more crosslinking of the material at the surface of the wafer. However, the residue was considerably less than that observed for BSI.0889A. Less residue may lessen problems during implant applications. 3.2 Clear-out and Lithography 3.2.1 Clear-out Clear-out testing was performed on topography wafers. The wafer was coated with BSI.W05041R, baked, and then developed for 60 seconds with 0.26 TMAH. The wafer was then cross-sectioned and SEM

pictures taken (Figure 7). The initial cross-section was performed on an undeveloped sample. This material showed good fill properties, which was important for reflectivity control. Clear-out testing at bake temperatures of 190º and 200ºC showed complete removal of the material from the trenches. The clear-out performance was excellent and may be attributed to the broad bake window. Material in the trench may have slightly higher heating due to more contact with the silicon wafer and thus more heat transfer. A broader bake window would lessen this effect. Figure 6. Post-develop residue testing of BSI.W05041R. 190 o C Undev. 190 o C 200 o C Figure 7. Clear-out testing of BSI.W.05041R. 3.2.2 Lithography Lithography was performed on samples (BSI.W05041S, BSI.W0541R, and BSI.W05041Q) at thicknesses of 450, 750 and 1150 Å with JSR s M230Y resist and TK s P338 resist (Figures 8 and 9, respectively). BSI.W05041S and BSI.W05041R showed 1/1 L/S of 180 nm with minimal undercutting. However, BSI.W05041Q was not able to give lines of this size due to the thickness of the material. Since these materials develop in an isotropic manner, a greater amount of undercutting is observed at greater thickness. For BSI.W05041Q, too much undercutting of the profile resulted in line collapse. This material did show 1/1 L/S profiles at 300 nm. Also, a greater amount of undercutting was observed as the thickness of the material increased. This result can also be attributed to an isotropic development.

Lithography was also performed at bake temperatures of 185º, 190º, and 195ºC. Temperature effects were greater as the thickness of the material increased (Figure 10). For BSI.W05041S, bake temperature had little effect on undercutting. In contrast BSI.W05041Q showed a significant dependency on temperature with undercutting occurring more at lower temperatures. BSI.W05041S / 190 o C BSI.W05041R / 190 o C BSI.W05041Q / 185 o C Figure 8. Lithography with BSI.W05041S, BSI.W05041R, and BSI.W05041Q with P338 at 1/1 L/S of 180, 180, and 300 nm, respectively. BSI.W05041S / 190 o C BSI.W05041R / 190 o C BSI.W05041Q / 185 o C Figure 9. Lithography with BSI.W05041S, BSI.W05041R, and BSI.W05041Q with M230Y at 1/1 L/S of 180, 180, and 300 nm, respectively. 4. Conclusion A new wet-developable BARC, BSI,W05041R, has demonstrated improved clear-out and less residue compared to previous polyamic acid materials. This improvement was attributed to an increase in bake latitude, which was observed in the develop rate versus temperature curve. Lithography with this material showed minimal undercutting with complete clearing between the profiles. A bake temperature effect was observed for the material; as temperature increased, more of a foot within the BARC was observed. Also, the material exhibited greater undercutting as the material thickness increased due to isotropic development. The improved properties of this material make it attractive for applications where clearing out structures is difficult or for applications where residue is problematic.

BSI.W05041S / 185 o C BSI.W05041S / 190 o C BSI.W05041S / 195 o C BSI.W05041R / 185 o C BSI.W05041R / 190 o C BSI.W05041R / 195 o C BSI.W05041Q / 180 o C BSI.W05041Q / 185 o C BSI.W05041Q / 190 o C Figure 8. Lithography with BSI.W05041S, BSI.W05041R, and BSI.W05041Q at bake temperatures of 185, 190, and 195 C with P338 at 1/1 L/S of 180, 180, and 300 nm, respectively. 5. References 1. Guilmeau, I.; Guerrero, A.F.; Blain, V.; Kremer, S.; Vachellerie, V.; Lenoble, D.; ogueira, P.; Mougel, S.; Chapon, J., Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant application, Proceedings of SPIE, vol 5376, 461 (2004). 2. Lim, Y.H.; Kim, Y.K.; Choi, J.S,; Lee, J.G., Process ptimization of Developer Soluble rganic BARC and its Characteristics in CMS Devices, Proceedings of SPIE, vol 5353, 690 (2005). 3. we-yang, DC.; Ho, B.C.; Miyazaki, S.; Katayama, T.; Susukida, K.; Kang, W.; Chang, Y.C., Application of photosensitive BARC and KrF resist on implant layers, Proceedings of SPIE, vol 5376, 452 (2004).