CVD growth and characterization of 3C-SiC thin films

Similar documents
3C-SiC growth on Si substrates via CVD: An introduction

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth of SiC thin films on graphite for oxidation-protective coating

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Supporting Information

Low Thermal Budget NiSi Films on SiGe Alloys

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer

Heteroepitaxial Growth of Single 3C-SiC Thin Films on Si (100) Substrates Using a Single-Source Precursor of Hexamethyldisilane by APCVD

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride

SiC crystal growth from vapor

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Fabrication of CdTe thin films by close space sublimation

Heteroepitaxial B12As2 on silicon substrates

Supporting Information

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth

ZnO thin film deposition on sapphire substrates by chemical vapor deposition

Sublimation growth of thick freestanding 3C- SiC using CVD-templates on silicon as seeds

Single Crystal Growth of Aluminum Nitride

Polycrystalline and microcrystalline silicon

Characterization of carbon nitride thin films deposited by microwave plasma chemical vapor deposition

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Development of diamond coated tool and its performance in machining Al 11%Si alloy

CHAPTER 3. Experimental Results of Magnesium oxide (MgO) Thin Films

CHAPTER 4: The wetting behaviour and reaction of the diamond-si system

8. Summary and Outlook

SiC Surface Preparation by Hydrogen Cleaning for High-Temperature, High-Power Device Integration

Physics and Material Science of Semiconductor Nanostructures

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Growth of bulk single crystals β-fesi 2 by chemical vapour deposition

Anomaly of Film Porosity Dependence on Deposition Rate

X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE

Deposition and characterization of sputtered ZnO films

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Growth of 3C-SiC via a hot-wall CVD reactor

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Properties of Inclined Silicon Carbide Thin Films Deposited by Vacuum Thermal Evaporation

FORMATION OF BURIED OXIDE IN MEV OXYGEN IMPLANTED SILICON

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films

Growth of large single-crystalline two-dimensional boron. nitride hexagons on electropolished copper

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE

Low Resistance TiAl Ohmic Contacts with Multi-Layered Structure for p-type 4H SiC

Scanning tunneling microscopy observation of surface reconstruction of GaN on sapphire and 6H-SiC

CHAPTER 5 EFFECT OF POST DEPOSITION ANNEALING ON THE SURFACE MORPHOLOGY OF THE DLC FILM

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

High Efficiency Heterojunction Cadmium Sulphide (CdS) Thin Film Solar Cells by Thermal Evaporation Technique

High vacuum chemical vapor deposition of cubic SiC thin films on Si 001 substrates using single source precursor

Aluminum nitride films synthesized by dual ion beam sputtering

Supporting Information

ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Controllable Growth of Few-layer Spiral WS 2

Supporting Information

Chapter 3 Silicon Device Fabrication Technology

Effect of barrier layers on the texture and microstructure of Copper films

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Development of Low-resistivity TiN Films using Cat Radical Sources

Structural changes of polycrystalline silicon layers during high temperature annealing

Deposition of TiN/CrN hard superlattices by reactive d.c. magnetron sputtering

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Supplimentary Information. Large-Scale Synthesis and Functionalization of Hexagonal Boron Nitride. Nanosheets

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

Etching Mask Properties of Diamond-Like Carbon Films

Macrodefects in cubic silicon carbide crystals

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition

Lecture contents. Heteroepitaxy Growth technologies Strain Misfit dislocations. NNSE 618 Lecture #24

This journal is The Royal Society of Chemistry S 1

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer

High Density Iron Silicide Nanodots Formed by Ultrathin SiO 2 Film Technique

Residual Stress in CVD-grown 3C-SiC Films on Si Substrates

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Preparation of PZT(53/47) thick films deposited by a dip-coating process

Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur

Instructor: Dr. M. Razaghi. Silicon Oxidation

Tungsten Oxide Nanorods Array and Nanobundle Prepared by Using Chemical Vapor Deposition Technique

Effect of melt temperature on the oxidation behavior of AZ91D magnesium alloy in 1,1,1,2-tetrafluoroethane/air atmospheres

Fused-Salt Electrodeposition of Thin-Layer Silicon

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Growth and properties of (ultra) nano crystalline diamond

Defense Technical Information Center Compilation Part Notice

Heteroepitaxy of Monolayer MoS 2 and WS 2

From sand to silicon wafer

Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells

High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia

Synthesis, Characterization and Optical Properties of ZnS Thin Films

TEM Study of Bulk AlN Growth by Physical Vapor Transport

Ag 2 S: Fabrication and Characterization Techniques

Low Defect SiC Material by Liquid-Phase Epitaxial Lateral Overgrowth

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

P. G. Baranov, Yu. A. Vodakov, E. N. Mokhov, M. G. Ramm, M. S. Ramm and A. D. Roenkov

Transcription:

Bull. Mater. Sci., Vol. 27, No. 5, October 2004, pp. 445 451. Indian Academy of Sciences. CVD growth and characterization of 3C-SiC thin films A GUPTA, D PARAMANIK, S VARMA and C JACOB* Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302, India Institute of Physics, Bhubaneswar 751 005, India MS received 23 January 2004; revised 5 August 2004 Abstract. Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using hexamethyldisilane (HMDS) as the source material in a resistance heated furnace. HMDS was used as the single source for both Si and C though propane was available for the preliminary carbonization. For selective epitaxial growth, patterned Si (100) substrates were used. The effect of different growth parameters such as substrate orientation, growth temperature, precursor concentration, etc on growth was examined to improve the film quality. The surface morphology, microstructure and crystallinity of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray photoelectron spectroscopy (XPS). Keywords. 3C-SiC; HMDS; CVD; selective epitaxy. 1. Introduction The unique combination of wide band gap, high thermal conductivity, high breakdown field and high-saturated electron drift velocity in SiC makes it one of the best choices for a semiconductor suitable for high power, high temperature and high frequency devices, where Si and GaAs devices cannot be used. Moreover, SiC is appropriate for devices operating in aggressive environments because of its stability to nuclear radiation and chemical attacks due to the high energy Si C bond. SiC devices capable of operating at over 500 C have been developed, as well as p n junctions with breakdown voltages of 5000 V (Morkoc et al 1994; Casady and Johnson 1996). Most of these developments have occurred in the hexagonal polytypes of SiC. The rapid development of 6Hand 4H-SiC substrates has resulted in the progress of device development in these materials. While homoepitaxial growth of 3C-SiC has not developed due to the relative paucity of 3C-SiC substrates, heteroepitaxial growth of 3C-SiC on Si (Nishino et al 1983) and other substrates (Parsons 1987) has indicated the promise of high mobility devices, etc (Jacob et al 1996). In fact, the growth of 3C-SiC on cheap Si substrates is one of the most promising technologies for bringing the benefits of SiC to consumer devices of the future. Nevertheless, there is a 20% lattice mismatch and an 8% thermal expansion coefficient mismatch between 3C-SiC and Si. 3C-SiC films grown on Si contain a high density of *Author for correspondence defects, such as misfit dislocations, stacking faults, twins, inversion domain boundaries (IDB), double positioning boundaries, etc. The main defects observed in the Si substrate in the vicinity of the SiC/Si interface are voids (Wu et al 1996). One of the most promising approaches to reduce interfacial defect density is the use of selective epitaxial growth on patterned Si substrates followed by epitaxial lateral overgrowth. The technique has successfully been utilized to grow 3C-SiC on Si (Jacob et al 2000; Nishino et al 2002). Chemical vapour deposition (CVD) is most commonly used, and relatively convenient for epitaxial growth of 3C-SiC on Si. Different types of source gases have been used to obtain the best crystal quality as well as to lower growth temperature. Various organometallic precursors such as tetramethylsilane [(CH 3 ) 4 Si : TMS], methyltrichlorosilane [CH 3 SiCl 3 : MTS], and hexamethyldisilane [(CH 3 ) 6 Si 2 : HMDS] have been used as single-source system to reduce the growth temperature of 3C-SiC/Si (Avigal et al 1974; Takahashi et al 1992; Jacob et al 2001). In case of selective epitaxy at high temperatures (> 1200 C), the oxide mask gets damaged (Edgar et al 1998). Therefore, lower growth temperatures are needed to minimize the damage to the oxide mask. In this paper, we report the growth of 3C-SiC films on Si (100) and (111) substrates using a metalorganic source, HMDS, in a CVD reactor. HMDS, with pre-existing silicon carbon bonding, is used to achieve lower growth temperature. The effects of substrate orientation, growth temperature, precursor concentration, etc on the growth of SiC have been discussed too. Void formation has been examined by optical microscopy and SEM. XRD and XPS were also used to characterize the grown films. 445

446 A Gupta et al 2. Experimental 2.1 Construction of CVD system The growth technique selected for growth of SiC thin films was CVD/MOCVD. A horizontal atmospheric pressure CVD reactor was used for growth. The reactor was built around a resistance-heated furnace. The schematic diagram of the CVD system is shown in figure 1. The system consisted of a 1 m long quartz reaction tube in conjunction with two water-cooled flanges at the two ends. The quartz tube was connected to a rotary pump (~ 10 2 torr), which was used to remove air from the reaction chamber. In order to initiate the chemical reaction at a particular temperature (> 1100 C), followed by thin film deposition, a hot-wall resistance heated furnace (ELECTROHEAT EN345T) was used. This had a maximum continuous operating temperature of ~ 1250 C. A graphite susceptor, on which the Si substrate was placed, was put at the centre of the reaction chamber. The gases utilized were high purity (XL grade BOC) hydrogen (H 2 ), argon and propane. H 2 was used as carrier gas, a small part of which was bubbled through liquid HMDS, in a stainless steel bubbler. Propane and argon were also introduced into the chamber for preliminary carbonization step and for purging, respectively. Mass flow controllers (Qualiflow AFC 50) were used to regulate the flow-rate precisely and accurately. 2.2 3C-SiC growth procedures Single crystal like Si (111) or Si (100) wafers (p- or n- type) cut into small pieces ( 1 1 cm), were used as substrates. For selective epitaxy, patterned Si (001) substrates masked by SiO 2 were used. Patterns were generated by conventional photolithographic techniques. Before introducing the unpatterned substrates into the reaction chamber, they were rinsed in HF (5%) for 5 min to remove the native oxide. The substrates were then washed in acetone to eliminate organic contamination, and finally cleaned by de-ionized water. The reaction chamber was evacuated to a pressure of ~ 10 2 torr using a rotary pump to remove the air from the chamber and then purged with argon repeatedly prior to film deposition. Carrier gas (H 2 ) was then allowed to flow through the chamber. Then the temperature was slowly raised to the growth temperature (> 1100 C) in the presence of H 2 flow. The carrier gas flow was set to 1 slm during heating and subsequently increased to 3 slm before opening the HMDS flow valve. HMDS was introduced to the chamber to commence the growth at the juncture when the temperature reached the growth temperature. Propane was used for preliminary carbonization just before growth. Due to a large lattice mismatch (20%) between SiC and Si, it is very difficult to obtain smooth and defect-free interfaces. Initial carbonization using propane was adopted to create a crystalline interface with low defect density at low temperatures. In this process the source of Si is the substrate itself. It is a self-limiting process due to diffusional effects. For actual growth, HMDS was used as a single source for both Si and C. This is a deposition process and the growth is not restricted by diffusion. The ratio of Si and C in this compound is 1 : 3 and it is thus carbon-rich. The growth period was varied from 1 2 h or more, as required. The growth temperature was varied between 1150 and 1250 C. Figure 1. Schematic diagram of the CVD system.

Growth and characterization of 3C-SiC thin films 447 2.3 Characterization of the films After growth, the films were characterized by optical microscopy, SEM, XRD and XPS. The surface morphology of grown films was observed by optical microscopy (Union: Tokyo microscope) using the Nomarski differential interface contrast (NDIC) mode with magnification up to 800 and SEM (JEOL JSM 5800 Scanning Microscope). X-ray diffraction studies were performed using a Philips PW1729 X-ray diffractometer using Cu-K α radiation to characterize the crystallinity of grown films. XPS (VG Microtech-ESCA2000 Multilab), using a Mg K-α source, was used to confirm the growth of very thin films as well as to identify the presence of any contamination. 3. Results and discussion 3.1 Optical microscopy Optical microscopy was used to study the surface morphology and identify defects. As the SiC film is transparent, it is possible to study the film as well as the SiC/Si interface. The magnification used for the optical microscopy investigations ranged from 200 to 400. Figure 2(a) shows the optical micrograph of a sample grown on Si (100) substrate at 1250 C for 1 h. Figure 2(b) shows similar photograph of a sample grown on Si (111) substrate under the same conditions. Voids are clearly observed in both cases. Voids are the main interfacial defects in the case of SiC thin film growth. They are created due to localized out diffusion of Si atoms at high temperature. Li and Steckl (1995) demonstrated that at the earliest stages of growth, Si diffused out from the substrate nonuniformly, particularly at the periphery of the nuclei. If the nucleation density is low, this results in the formation of irregular trenches, which are then transformed into faceted voids as the growth proceeds. They also suggested that it was possible to grow void-free films, if the whole substrate could be rapidly and uniformly covered with nuclei. It was observed that the shape of voids depends on the symmetry of the substrate surface because voids facet parallel to the lowest surface energy faces, i.e. {111} planes, during film growth. Thus, it is approximately square in shape on Si (100) and triangular on Si (111) substrates. In the case of selective epitaxy, at high temperature (> 1150 C), the oxide mask gets damaged and the whole pattern peels off. Figure 3 shows the peeling off of the oxide mask when heated at 1250 C for 2 h in the presence of H 2 and HMDS. Oxide mask degradation occurs in Si SEG at temperatures above 1100 C primarily through the reaction, SiO 2 + Si = 2SiO (gas), which produces stress at the SiO 2 /Si interface. This stress causes delamination of the oxide mask, thereby destroying the selectivity. 3.2 Scanning electron microscopy The surface morphology of the as-grown films was further examined by SEM. Figure 4(a) shows an SEM image of voids in a patterned Si (100) substrate in the vicinity of the SiC/Si interface. This sample was grown at 1250 C for 1 h. These voids are typically faceted with their walls parallel to {111} planes because this surface possesses minimum surface energy. Figure 4(b) is a high magnification SEM image of a faceted void showing the roughness of facets. The centres of the voids exhibit further features, such as square shapes and other sharp lines, suggesting that voids have some sub-structure. From figure 4(a), it is observed that, in the patterned sample, no voids are formed inside smaller windows, i.e. formation of voids is dependent on the mask to window ratio. Here, inside the smaller windows, nucleation rate is higher than on the other portions of the substrate. As growth takes place on the bare Si and not on the oxide mask (due to lower sticking coefficient), the nuclei on the mask in the vicinity of smaller windows migrate to the windows, Figure 2. Optical images of (a) voids in 3C-SiC film grown on Si (100) and (b) voids in 3C-SiC film grown on Si (111).

448 A Gupta et al Figure 3. Peeling off of oxide mask at high temperature (1250 C). Figure 4. SEM images of (a) voids on patterned Si (100) substrates, (b) magnified image of one of these faceted voids, (c) voids on Si (111) substrates and (d) magnified image of one of these faceted voids.

Growth and characterization of 3C-SiC thin films 449 increasing the growth rate. As a result, by rapid coverage of smaller windows at a higher growth rate, void free regions have been achieved. Thus by selective epitaxy, void free regions (roughly 5 5 µm) can be grown. This could be increased by appropriate patterning of the mask. Even other interfacial defects, which are still present there, can be greatly reduced using lateral overgrowth. Figure 4(c) shows void faceting on the sample grown at 1250 C for 2 h on a Si (111) substrate. Inside some of these voids triangle-like features were observed. Figure 4(d) is the magnified image of one of these faceted voids. 3.3 X-ray diffraction analysis of SiC films X-ray diffraction analysis was used in the present work to characterize the crystallinity of the films. Figure 5 corresponds to diffraction from (a) the bare Si (111) wafer and from two films grown at 1250 C for 2 h (b and c). The difference between the two films is that the HMDS concentration is higher in the second case (i.e. in c). The peak at 28 68 arises from the Si (111) planes due to the CuK α radiation. The peak at 26 appears due to the diffraction of the CuK β radiation from the Si (111) planes. In most of the cases, an additional peak at 58 9 has been observed. This peak appears at the position where the forbidden Si (222) peak should appear. In the two films, the presence of a peak at ~ 35 7 (111) indicates that the films are 3C-SiC. Analysing the XRD data of the films grown with different HMDS concentrations, it is clearly observed that the SiC (111) peak intensity varies with concentration and it is much more intense in the case of the film grown with higher HMDS concentration (figure 5(c)) suggesting a thicker film for higher HMDS concentration. The XRD patterns for the bare Si (100) substrate and the film grown on that substrate are shown in figures 6(a) and (b), respectively, using CuK α radiation. The main peak is at 69 15, which is the (400) peak for Si. An additional peak at ~ 33 has been observed on almost all the Si (100) substrates. Again the inter-planar spacing corresponding to Si (200) planes suggests that this peak is due to the reflection from Si (200) planes. The (200) peak is also a forbidden reflection and it appears due to double diffraction effects. The presence of the peak at 41 5 is due to the reflection from 3C-SiC (200) planes. Except this SiC (200) peak, all the peaks are also obtained from the bare Si (i.e. (a)). In both the cases, the peak at 61 7 appears due to the CuK β radiation diffracted from the Si (400) planes. The 3C-SiC peaks corresponding to (111) and (200) planes were obtained from the films grown on Si (111) and (100) single crystalline substrates, respectively. Therefore, from XRD results, it can be suggested that the grown films are either truly epitaxial or highly textured polycrystalline. 80 Figure 5. X-ray diffraction spectra of (a) bare Si (111) and (b) & (c) epitaxial 3C-SiC films on Si (111) substrates grown at 1250 C at different HMDS concentrations.

450 A Gupta et al K β Si (400) (b) (a) Figure 6. X-ray diffraction spectra of (a) bare Si (100) and (b) 3C-SiC film grown on Si (100) substrate at 1250 C. Figure 8. XPS survey of SiC film grown at 1250 C on Si (100) substrate (with enlarged C1s peak). quantities from the source gases or from minor leaks. Apart from O1s peaks no other contamination is observed. 4. Conclusions Figure 7. XPS survey of SiC film grown at 1250 C on Si (111) substrate (C1s peak is enlarged). 3.4 X-ray photoelectron spectroscopy (XPS) X-ray photoelectron spectroscopy was performed on some of the thinner samples to verify growth and to determine the presence of contamination, if any. The XPS result of the film, grown on Si (111) substrate using HMDS, is shown in figure 7 along with the carbon peak (C1s) in enlarged detail. The presence of carbide bonding is clearly identified by the peak near 283 ev along with some graphitic carbon (near 285 ev). Figure 8 shows the XPS survey of SiC film grown on Si (100) substrate using HMDS. The C1s peak was expanded also to bring out the details. In this case, it is observed that there is significant amount of graphitic carbon as compared to the carbide bonding. This behaviour is not well understood at present though efforts are being made to clarify the differences. The chief contamination is oxygen, which could have come in trace Epitaxial growth of 3C-SiC on Si substrates by atmospheric chemical vapour deposition was carried out using HMDS and hydrogen as the source and carrier gas, respectively. The microstructure and surface morphology of the grown films were characterized by optical microscopy, SEM and XRD. The main defects that were observed by optical microscopy and SEM at the SiC/Si interface are faceted voids. The voids in the Si substrate are thought to form due to the non-uniform out diffusion of Si from the substrate. These voids were always faceted with their walls parallel to {111} planes. The number and size of the voids can be minimized by selective epitaxy and lateral overgrowth. XPS results indicate the formation of SiC even on very thin films. XRD data suggests that the films grown on Si (111) are epitaxial or highly textured films. Acknowledgements We are grateful for the support provided by DST, New Delhi. (AG) thanks the CSIR for the award of a research fellowship. References Avigal Y, Schieber M and Levin R 1974 J. Cryst. Growth 24 25 188 Casady J B and Johnson R W 1996 Solid State Electron. 39 1409 Edgar J H, Gao Y, Chaudhuri J, Cheema S, Casalnuovo S A, Yip P W and Sidorov M V 1998 J. Appl. Phys. 84 201 Jacob C, Wu C H, Mehregany M and Pirouz P 1996 Transactions

Growth and characterization of 3C-SiC thin films 451 of the 3rd international high temperature conf. (Albuquerque: NM) 1 p. II-9 Jacob C, Hong M H, Chung J, Pirouz P and Nishino S 2000 Mater. Sci. Forum 338 342 249 Jacob C, Pirouz P and Nishino S 2001 Mater. Sci. Forum 338 342 127 Li J P and Steckl A J 1995 J. Electrochem. Soc. 142 634 Morkoc H, Strite S, Gao G B, Lin M E, Sverdlov B and Burns M 1994 J. Appl. Phys. 76 1363 Nishino S, Powell J A and Will H A 1983 Appl. Phys. Lett. 42 460 Nishino S, Jacob C, Okui Y, Ohshima S and Masuda Y 2002 J. Cryst. Growth 237 239 1250 Parsons J D 1987 Mater. res. soc. symp. proc. (Pittsburgh, PA: MRS) 97 p. 271 Takahashi K, Nishino S, Saraie J and Harada K 1992 SPP amorphous and crystalline silicon carbide IV (eds) C Y Yang, M M Rahaman and G L Harris (Berlin, Heidelberg: Springer-Verlag) 71 p. 78 Wu C H, Jacob C, Ning X J, Nishino S and Pirouz P 1996 J. Cryst. Growth 158 480