Microfabrication for MEMS: Part II

Similar documents
ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Chapter 3 Silicon Device Fabrication Technology

Lecture 22: Integrated circuit fabrication

IC/MEMS Fabrication - Outline. Fabrication

Fabrication Technology, Part I

EECS130 Integrated Circuit Devices

Czochralski Crystal Growth

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Mostafa Soliman, Ph.D. May 5 th 2014

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Physical Vapor Deposition (PVD) Zheng Yang

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Chemical Vapor Deposition

Lecture #18 Fabrication OUTLINE

Today s Class. Materials for MEMS

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

The Physical Structure (NMOS)

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Fabrication Technology

VLSI Digital Systems Design

Chapter 2 MOS Fabrication Technology

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

Chapter 3 CMOS processing technology

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Microelectronic Device Instructional Laboratory. Table of Contents

Silicon Manufacturing

Microfabrication of Integrated Circuits

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Complexity of IC Metallization. Early 21 st Century IC Technology

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Fabrication and Layout

Chapter 2 Manufacturing Process

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Chapter 2 OVERVIEW OF MEMS

Isolation Technology. Dr. Lynn Fuller

Welcome MNT Conference 1 Albuquerque, NM - May 2010

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Review of CMOS Processing Technology

Lecture Day 2 Deposition

INTEGRATED-CIRCUIT TECHNOLOGY

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Doping and Oxidation

Process Flow in Cross Sections

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Surface micromachining and Process flow part 1

Fabrication Technology, Part II

Thermal Evaporation. Theory

Lecture 0: Introduction

Surface Micromachining

4/10/2012. Introduction to Microfabrication. Fabrication

Lecture 1A: Manufacturing& Layout

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

Lect. 2: Basics of Si Technology

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Semiconductor Manufacturing Process 10/11/2005

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Lecture 5: Micromachining

Mikrosensorer. Microfabrication 1

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Manufacturing Technologies for MEMS and SMART SENSORS

Physics and Material Science of Semiconductor Nanostructures

Semiconductor Technology

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

Wafer (1A) Young Won Lim 4/30/13

Photolithography I ( Part 2 )

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

Surface Micromachining

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Chapter 5 Thermal Processes

EE 143 CMOS Process Flow

Chapter 7 Polysilicon and Dielectric Film Deposition

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Transcription:

CL: 6.777J/2.372J Spring 2007, Lecture 2-1 Microfabrication for MEMS: Part II Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia, from whose lecture notes some of these materials are adapted.

Outline CL: 6.777J/2.372J Spring 2007, Lecture 2-2 > Substrates > Lithography and patterning > Doping > Thin films

CL: 6.777J/2.372J Spring 2007, Lecture 2-3 Silicon Wafers > Silicon is a diamond-structure cubic crystal > Comes with different amounts of either n-type or p-type doping z z y y x Simple cubic crystal z x (100) Plane z y y x x (110) Plane (111) Plane Image by MIT OpenCourseWare. Adapted from Figure 3.1 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 31. ISBN: 9780792372462.

Notation CL: 6.777J/2.372J Spring 2007, Lecture 2-4 > A direction in crystal coordinates is denoted by square brackets, e.g. [100] > The set of symmetrically equivalent directions is written with braces, e.g. <100> > The plane perpendicular to a direction is denoted with parentheses, e.g. (100) > The set of symmetrically equivalent planes is written with curly brackets, e.g. {100}

CL: 6.777J/2.372J Spring 2007, Lecture 2-5 Diamond Structure > The diamond structure is two face-centered cubic lattices shifted by ¼ of the body diagonal. There are four silicon atoms per cubic unit cell. Image by MIT OpenCourseWare. Adapted from Figure 3.2 in: Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 32. ISBN: 9780792372462.

Wafer orientation CL: 6.777J/2.372J Spring 2007, Lecture 2-6 (110) plane (100) plane 45 o (100) planes (110) primary flat (100) type wafer Image by MIT OpenCourseWare. Adapted from: Maluf, Nadim. An Introduction to Microelectromechanical Systems Engineering. Boston, MA: Artech House, 2000. ISBN: 9780890065815. Picture from N. Maluf, An Introduction to Microelectromechanical Systems Engineering

CL: 6.777J/2.372J Spring 2007, Lecture 2-7 Other substrates > Silicon wafers with embedded layers, such as silicon-oninsulator (SOI) wafers device layer buried oxide layer substrate Initial purpose: build IC s on device layer, and buried oxide minimizes stray capacitance to substrate Common MEMS purpose: bulk micromachine top layer into moveable structures with well-controlled thickness $$$$ > Quartz wafers Single crystal Fused quartz amorphous quartz wafers

Other substrates CL: 6.777J/2.372J Spring 2007, Lecture 2-8 > Glass (cheap, high impurity content) Inexpensive base for soft lithography Transparent for optical access Can be very strongly attached to silicon wafers via anodic bonding > Compound semiconductors (III-V s, II-VI s) Optical applications > Sapphire Strong, wear resistant, transparent, insulating substrate Compatible with CMOS (so transparent CMOS MEMS) Expensive, hard to etch

Substrate summary Substrate Front end Back end Everything else compatible compatible compatible Silicon yes + yes yes, but only use if needed Silicon on yes + yes yes, but only use insulator (SOI) if needed Quartz yes yes yes, but only use if needed Glass (pyrex) no yes, sometimes yes + Compound no yes yes, but only use semiconductor if needed Sapphire yes, but only use yes, but only use yes, but only use if needed if needed if needed CL: 6.777J/2.372J Spring 2007, Lecture 2-9

Outline CL: 6.777J/2.372J Spring 2007, Lecture 2-10 > Substrates > Lithography and patterning > Doping > Thin films

Optical Lithography CL: 6.777J/2.372J Spring 2007, Lecture 2-11 Spin-cast a photosensitive resist layer; bake out solvent Collimated UV exposure through a mask; resist either cross-links or becomes soluble positive negative Develop by dissolving the exposed/unexposed (positive/negative) resist; can now transfer pattern to substrate Alignment fiducials permit alignment of subsequent masks.

Methods of optical lithography I > Contact Mask touches wafer Inexpensive Contact degrades mask No die size limit Resolution: down to 1 micron nervously; down to several microns comfortably > Proximity Mask of order 10 microns from wafer Inexpensive Less mask damage Diffraction means lower resolution No die size limit Resolution: down to several microns nervously, somewhat larger comfortably CL: 6.777J/2.372J Spring 2007, Lecture 2-12

Projection Lithography CL: 6.777J/2.372J Spring 2007, Lecture 2-13 > Projection lithography, especially when combined with an optical imaging system that reduces the image size, is used for high-resolution patterning (submicron to very submicron) UV Light Condensing Lens Mask > Larger mask features, no contact with mask Projection Optics Wafer > Wafer steppers expose one die at a time, assuring good focus and registration > Something to consider: if your device needs fine features, a stepper may be required. But steppers have limits on die size of about 1 cm. Image by MIT OpenCourseWare. Adapted from Figure 3.15 in: Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 53. ISBN: 9780792372462.

Mask making CL: 6.777J/2.372J Spring 2007, Lecture 2-14 > Highest quality chromium on fused quartz written with an electron beam exposing an electron-beam resist (PMMA) Also very high quality: laser-writing > Photographic emulsion on fused quartz exposed with UV light flashes through a programmable aperture > Patterns printed from an AutoCAD file on transparencies with a veryhigh-resolution printer low resolution, but cheap and fast

CL: 6.777J/2.372J Spring 2007, Lecture 2-15 Positive thin resist > Spin cast > Thickness of order 1 micron > Developer removes exposed resist > Creates sloped profile at resist edge > Some applications Wet etching Shallow dry etching > Front end standard

Negative/image reversal photoresists CL: 6.777J/2.372J Spring 2007, Lecture 2-16 > Spin cast > Thickness of order 1 micron > Developer removes unexposed resist > Creates a re-entrant profile > Typical application: liftoff processes (in acetone), often seen in back end processing > Rule of thumb: resist thickness should be 3x thickness of layer to be lifted off > Not a standard front end material, but not inherently incompatible with it

CL: 6.777J/2.372J Spring 2007, Lecture 2-17 Positive thick photoresist > Spin cast > Thicknesses of order 10 microns > Sloped profiles Slope somewhat controllable through process conditions > Some planarizing capability > Typical applications: Prolonged or low selectivity dry etch Deep reactive ion etch Masking any etch over topography > Not a standard front end material, but not inherently incompatible Courtesy of Reza Ghodssi. Used with permission. with it 5 μm thick

Double-sided aligned lithography CL: 6.777J/2.372J Spring 2007, Lecture 2-18 > Goal: align features on the back of the wafer to features on the front Common requirement in bulk micromachining Not a standard IC capability Functionality more common as market grows > What you need: Double side polished wafer Double sided alignment tool» IR alignment, registration to global fiducials in the tool, through holes, etc.

CL: 6.777J/2.372J Spring 2007, Lecture 2-19 Special purpose lithographic techniques I > X-ray lithography One application: making molds for LIGA Requires an x-ray source and x-ray mask > Electron beam lithography High resolution (tens of nanometers) NEMS (NanoElectroMechanical Systems) A slow, serial process > Lithographic techniques that are rarely seen in front end processing

CL: 6.777J/2.372J Spring 2007, Lecture 2-20 Special purpose lithographic techniques II > Shadow masking Direct evaporation or sputtering through physical holes in a shadow mask (think stencils) Back end/everything else process Last ditch technique for patterning surfaces that cannot be coated with resist (large topography, fragile features) > Soft lithography (Whitesides, Harvard) Using polydimethylsiloxane (PDMS, a rubber material) as a physical mold to replicate structures Advantages:» Patterning curved surfaces» Rapid, inexpensive fabrication A set of everything else processes More on this later

Very thick photoresists CL: 6.777J/2.372J Spring 2007, Lecture 2-21 > SU-8 epoxy Spin cast Negative resist, optical exposure Can planarize extreme topographies Can be structural, not easily dissolved > Polyimide Spin cast Can planarize topographies Humidity sensitive SU-8 epoxy photograph. Image removed due to copyright restrictions. 500 micrometer SU-8 epoxy within deep silicon trench. Image removed due to copyright restrictions. > Classified as everything else

Details that matter for lithographic patterning CL: 6.777J/2.372J Spring 2007, Lecture 2-22 > Existing topography: if your existing feature heights are comparable to or greater than the thickness of the resist that you are putting down, you will not have good coverage Incompletely covered sidewalls, holes full of resist, resist that never enters a hole at all Solutions: eliminate the topography, thicker resist, alternate coating technology (spray on, electrophoretic photoresist?), use of a previously patterned hard mask instead of a resist mask > Patterned resist does not have a square profile can affect the topography of whatever you pattern with the resist > Resist adhesion If the surface of the wafer is hydrophilic (like SiO 2 ), the resist might peel during subsequent wet processing steps Surface preparation is key (e.g. dehydration bake and HMDS coating to render surface hydrophobic)

CL: 6.777J/2.372J Spring 2007, Lecture 2-23 Cleaning! > When we say (for example) that positive thin resist is compatible with front end processing, we do not mean that you can have resist on your wafer during most front end processes! > Must remove resist and clean wafer thoroughly before high temperature processes > Always include cleaning in process flows, starting at the crayon engineering level > Resist removal techniques: O 2 plasma ash Chemical removal of organics: piranha clean (3:1 H 2 SO 4 :H 2 0 2 ) or Nanostrip (a weaker version of piranha) Solvents (acetone)

More cleaning! CL: 6.777J/2.372J Spring 2007, Lecture 2-24 > Additional cleans typically needed at specified points in the flow > Example: RCA clean before very high temperature processing (as in furnace for front end processing) Step 1: Organic clean, 5:1:1 H 2 O:H 2 O 2 :NH 4 OH at 75-80C Step 2: Thin oxide removal, 50:1 H 2 O:HF Step 3: Metal/ionic contamination removal, 6:1:1 H 2 O:H 2 O 2 : HCl at 75 80C > Example: remove organics before moderately high temperature, fairly clean processing (upper part of back end processing) Piranha clean (3:1 H 2 SO 4 :H 2 0 2 ) > Materials compatibility (what cleans your structures can tolerate) often determine what processes you can and can t use > If you wait until the last minute to put cleans into your process flow, you will likely be redesigning your device and process at the last minute

CL: 6.777J/2.372J Spring 2007, Lecture 2-25 Another important detail: process bias > The feature drawn on the mask is not the same size as the feature produced on the wafer > Exposed area usually extends beyond clear area on mask > Resist selection impacts process bias Resist thickness Resist tone

CL: 6.777J/2.372J Spring 2007, Lecture 2-26 Design Rules > Alignment of one pattern to the next is critical to device fabrication > Design rules are created to assure that fabrication tolerances do not destroy devices Feature on Mask 1 Mandatory Overlap Design Rule Feature on Mask 2 After Mask 1 After Mask 2: Desired alignment Barely acceptable misalignment Unacceptable misalignment Image by MIT OpenCourseWare. Adapted from Figure 3.16 in: Senturia, Stephen D. Microsystem Design. Norwell, MA: Kluwer Academic Publishers, 2001, p. 54. ISBN: 9780792372462.

Outline CL: 6.777J/2.372J Spring 2007, Lecture 2-27 > Substrates > Lithography and patterning > Doping > Thin films

CL: 6.777J/2.372J Spring 2007, Lecture 2-28 Doping > Doping is the introduction of a controlled amount of impurities to change the conductivity type and degree of a semiconductor > In silicon, boron is a p-type dopant (creating holes), while phosphorus, arsenic, and antimony are n-type dopants (creating conduction electrons) > Some doping incorporated in initial silicon melt > All modern thin film doping is done with ion implanation > Doping doesn t add a new thin film, but it modifies the properties of a thin film at the surface of existing material

Ion implantation CL: 6.777J/2.372J Spring 2007, Lecture 2-29 > A high-voltage accelerator is used to shoot ions at the wafer. > The beam must be rastered and the wafer must be rotated to achieve uniform dose > Usually a thin protective layer, such as oxide, is used to prevent sputtering of the surface and to reduce channeling > The depth of the implant dose depends on energy > Activation anneal after implantation allows dopants to reach proper positions in crystal

Effective range CL: 6.777J/2.372J Spring 2007, Lecture 2-30 > The effective range measures the location of the peak concentration of an implanted species. 10 Projected range (m) 1 0.1 0.01 Boron Arsenic Phosphorus 0.001 10 100 Energy (kev) 1000 Image by MIT OpenCourseWare. This image is for illustration purposes only; it should not be used for design calculations. Adapted from Figure 3.6 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 39. ISBN: 9780792372462.

Masking of implants CL: 6.777J/2.372J Spring 2007, Lecture 2-31 > Control of which regions of a wafer receive the implant is achieved with masking layers Ions Oxide Silicon Photoresist Location of implanted ions Image by MIT OpenCourseWare. Adapted from Figure 3.7 in: Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 39. ISBN: 9780792372462.

Diffusion > After implantation, ions are driven deeper into the substrate by diffusion, a high-temperature process > The junction depth is the point at which the implanted ion concentration is equal (but of opposite type) to the substrate doping 6 5 x j = Implant dose Q ( 4Dt) ln N D πdt Junction depth (microns) 4 3 2 Background doping concentration 1 0 10 3 10 4 10 5 Time (seconds) Image by MIT OpenCourseWare. This image should not be used for design calculations. Adapted from Example 2.2 in: Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 43. ISBN: 9780792372462. CL: 6.777J/2.372J Spring 2007, Lecture 2-32

Outline CL: 6.777J/2.372J Spring 2007, Lecture 2-33 > Substrates > Lithography and patterning > Doping > Thin films

CL: 6.777J/2.372J Spring 2007, Lecture 2-34 Creating thin (and thick) films > Many techniques to choose from > Differences: Front or back end processes Quality of resulting films (electrical properties, etch selectivity, defects, residual stress) Conformality Deposition rate, cost > Physical techniques Material is removed from a source, carried to the substrate, and dropped there > Chemical techniques Reactants are transported to the substrate, a chemical reaction occurs, and the products deposit on the substrate to form the desired film

CL: 6.777J/2.372J Spring 2007, Lecture 2-35 Taxonomy of deposition techniques > Chemical Thermal Oxidation Chemical Vapor Deposition (CVD)» Low Pressure (LPCVD), Atmospheric Pressure (APCVD), Plasma Enhanced (PECVD) Epitaxy Electrodeposition (Electroplating) > Physical Physical Vapor Deposition (PVD)» Evaporation» Sputtering Spin-casting

CL: 6.777J/2.372J Spring 2007, Lecture 2-36 Oxidation I > Silicon forms a high quality, stable oxide > How it works: Oxygen diffuses through oxide to Si/oxide interface Si + O 2 + high temperature (~1100 C) furnace SiO 2 Some Si is consumed 0.46 t ox t ox > Rate determined by diffusion of oxygen through oxide > Diffusion limits practical oxide thickness to < 2 μm > A key front end process

CL: 6.777J/2.372J Spring 2007, Lecture 2-37 Oxidation II > Dry oxidation (O 2 ) High quality, slow oxidation rate, smaller maximum thickness (i.e. gate oxide) > Wet oxidation (steam) H 2 to speed the diffusion Lower quality, faster oxidation rate > The Deal-Grove model describes the kinetics of oxidation quite well for oxides greater in thickness than about 30 nm.

Deal-Grove Model CL: 6.777J/2.372J Spring 2007, Lecture 2-38 For oxides greater than about 30 nm thick: x final where 4 = 0.5 1+ BDG ( + ) A t 1 DG 2 τ DG ADG τ DG = x B 2 i DG + B DG xi / A (Constants are given in the text; beware units of B DG, μm 2 /hour) Growth goes approximately as t for short times, and approximately as t for long times. DG

Local Oxidation CL: 6.777J/2.372J Spring 2007, Lecture 2-39 > Oxidation can be masked locally by an oxidation barrier, such as silicon nitride > Oxide undercuts edge of mask layer to form a bird s beak > Oxidation followed by oxide etch can also be used to sharpen silicon features. Oxidation barrier Bird s beaks Silicon wafer After oxidation Senturia, Microsystem Design. Image by MIT OpenCourseWare. Adapted from Figure 3.5 in: Senturia, Stephen D. Microsystem Design. Norwell, MA: Kluwer Academic Publishers, 2001, p. 37. ISBN: 9780792372462.

CL: 6.777J/2.372J Spring 2007, Lecture 2-40 Chemical Vapor Deposition (CVD) > How CVD works: Gaseous reactants, often at low pressure Long mean free path; reactants reach substrate Reactants react and deposit products on the substrate Unlike oxidation, does not consume substrate material > Energy sources facilitate CVD reactions: High temperature, plasma, laser > Processing temperatures vary widely > Commonly deposited films: Oxide, silicon nitride, polysilicon > CVD results depend on pressures, gas flows, temperature Film composition, uniformity, deposition rate, and electrical and mechanical characteristics can vary > Near the boundary between front and back end, depending

Some reasons to use CVD CL: 6.777J/2.372J Spring 2007, Lecture 2-41 > Oxide formation: To get a thicker layer than thermal oxidation can provide To create oxide on a wafer that can t withstand high temperatures (for example because of metal features) To create oxide on top of a material that is not silicon > For film formation in general: To tailor the film properties (like film stress) by adjusting pressures, flow rates, external energy supply, ratios of different precursor gases (to adjust proportions of different materials in the final product) Conformality: (more or less) even coating on all surfaces > Drawbacks Films deposited at low temperature are often lower quality than high temperature versions, and have less predictable properties Flammable, toxic, or corrosive source gases

CL: 6.777J/2.372J Spring 2007, Lecture 2-42 Thick Film Formation > Chemical vapor deposition is a common MEMS tool for creating thick films on the wafer surface In practice, film stress limits thickness (film delamination or cracking, or curvature of underlying structures) Can deposit thick oxides; nitrides are still typically submicron Must anneal deposited oxides for some applications lose low stress property on anneal > Example: PECVD deposition (350-400C) of 10 to 20 μm oxides Image removed due to copyright restrictions. Image removed due to copyright restrictions. 25 μm thick cracked oxide, X. Zhang et al., Hilton Head 2000 18 μm thick oxide insulation for a microgenerator w/ Pt features

CL: 6.777J/2.372J Spring 2007, Lecture 2-43 CVD enables conformal coating MEMS-based fuel processor. Figure 4 on p. 605 in: Arana, L.R., S. B. Schaevitz, A. J. Franz, M. A. Schmidt, K. F. Jensen, "A Microfabricated Suspended-tube Chemical Reactor for Thermally Efficient Fuel Processing." Journal of Microelectromechanical Systems 12, no. 5 (2003): 600-612. 2003 IEEE. Figure 5 on p. 606 in: Arana, L.R., S. B. Schaevitz, A. J. Franz, M. A. Schmidt, K. F. Jensen, "A Microfabricated Suspended-tube Chemical Reactor for Thermally Efficient Fuel Processing." Journal of Microelectromechanical Systems 12, no. 5 (2003): 600-612. 2003 IEEE.

CL: 6.777J/2.372J Spring 2007, Lecture 2-44 LPCVD Polysilicon > Amorphous at lower deposition temperatures and higher deposition rates Typical temperature: ~ 590 C > Polycrystalline at higher deposition temperatures and lower deposition rates Typical temperature: ~ 625 C > Grain size and structure depend on detailed deposition conditions e.g. thicker films larger grains > Structure, electrical properties, and mechanical properties also vary with post-deposition thermal processing Grain growth Dopant activation or diffusion

Polysilicon stress depends on deposition details CL: 6.777J/2.372J Spring 2007, Lecture 2-45 Image removed due to copyright restrictions. Image removed due to copyright restrictions. Kurt Petersen, Trans Sensory Devices Image removed due to copyright restrictions. Lober, Theresa Ann. "A Microfabricated Electrostatic Motor Design and Process." Masters thesis, Massachusetts Institute of Technology, 1988. 132 pages.

Epitaxy CL: 6.777J/2.372J Spring 2007, Lecture 2-46 > CVD deposition process in which atoms move to lattice sites, continuing the substrate s crystal structure Homoepitaxy: same material, i.e. Si on Si Heteroepitaxy: different materials, i.e. AlGaAs on GaAs > How it happens Slow deposition rate (enough time to find a lattice site) High temperature (enough energy to move to a lattice site) > Selective epitaxy is possible through masking > Can grow a doped Si layer of known thickness

Electroplating: basics CL: 6.777J/2.372J Spring 2007, Lecture 2-47 > Pass a current through an aqueous metal solution Anode is made of the metal that you want to deposit Cathode is the conductive seed material on your wafer Positive metal ions travel to the negatively charged cathode on your wafer and deposit there > Preparing your wafer If you want to plate metal in some places and not in others, you will need a patterned metal seed layer (and typically a sticky metal adhesion layer under that) For very short features, just plate onto the seed layer For taller features, need to plate into a mold Molds can be photoresist, silicon, SU-8, etc., depending on the needs of your device

Electroplating Electroplating for LIGA 40 µm thick films of nickel fabricated by electroplating into a mold This picture belongs to Professor Reza Ghodssi (University of Maryland) and was published in the following reference: Ghodssi, R., D. J. Beebe, V. White, and D. D. Denton. "Development of a Tangential Tactor Using a LIGA/MEMS Linear Microactuator Technology." Proceedings of the 1996 ASME Winter Annual Mtg., Symposium on Micro-Mechanical Systems, Atlanta, Georgia, pp. 379-386, November 17-22, 1996. CL: 6.777J/2.372J Spring 2007, Lecture 2-48

CL: 6.777J/2.372J Spring 2007, Lecture 2-49 Electroplating realities Test run w/ bump plating - perfect Courtesy of Dariusz Golda. Used with permission. Real device forms keyholes different loading pattern Solution: Cu damascene fill, with additives/agitation to promote fill at bottom

Conformality and keyholes CL: 6.777J/2.372J Spring 2007, Lecture 2-50 > To lowest order, conformal films coat sidewalls and horizontal surfaces at the same rate. > But high aspect ratio trenches are prone to keyholes (CVD, electroplating, etc.) What you want: What you get:

CL: 6.777J/2.372J Spring 2007, Lecture 2-51 Physical Vapor Deposition (PVD) > Remove material from a solid source > Transport material to substrate > Deposit material on substrate > Differences among PVD techniques How material is removed from source Directionality when it arrives at substrate Cleanliness of deposition > A family of quick, low temperature processes > All back end processes or worse

CL: 6.777J/2.372J Spring 2007, Lecture 2-52 Thermal Evaporation I R source > Source is resistively heated in high vacuum Typical source: metal > Hot source atoms are emitted in all directions and stick where they land > Substrate receives a directional flux of source material Good for liftoff processes, otherwise poor conformality > Possible contamination from generalized heating

CL: 6.777J/2.372J Spring 2007, Lecture 2-53 E-beam Evaporation electron gun V e - source > Electron beam heats source in high vacuum Typical source: metal > Hot source atoms are emitted in all directions and stick where they land > Substrate receives a directional flux of source material Good for liftoff processes, otherwise poor conformality > Heating is less generalized less contamination

CL: 6.777J/2.372J Spring 2007, Lecture 2-54 Sputtering = Ar ion target > Unreactive ions (i.e. Ar) knock material off a target by momentum transfer > Targets: metals, dielectrics, piezoelectrics, etc. > Different methods of obtaining energetic ions Magnetron, plasma > Low pressure, but not high vacuum > Less directional and faster than evaporation

Etching, liftoff, and adhesion layers CL: 6.777J/2.372J Spring 2007, Lecture 2-55 > Films are patterned differently depending on whether the material in question tends to react with other materials > Materials that react (for example, aluminum): Deposit a blanket film (sputtering good for better conformality), do photolithography, and etch it into the desired shape > Materials that don t react readily (for example, noble metals): Hard to etch: typically use liftoff instead Pattern resist, then deposit metal on top with a directional deposition tool Not very sticky: typically need an adhesion layer to stick the noble metal to what lies beneath Example: use a few hundred A thick layer of Cr or Ti to adhere Au to an underlying oxide (deposited without breaking vacuum between layers)

CL: 6.777J/2.372J Spring 2007, Lecture 2-56 > No! Is this all you can do with deposited films? > Spin-casting: put the stuff that you want to deposit in a liquid, spin it onto the surface like resist, and bake out the solvent (spin on glass, PZT piezoelectrics) > Other forms of vapor deposition designed for a particular purpose (depositing the inert polymer parylene by vapor deposition followed by polymerization) > Lamination of free-standing resist films onto surfaces > Self assembled monolayers >