Fabrication Technology, Part I

Similar documents
EECS130 Integrated Circuit Devices

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Today s Class. Materials for MEMS

Chemical Vapor Deposition

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

The Physical Structure (NMOS)

Chapter 3 Silicon Device Fabrication Technology

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

Process Flow in Cross Sections

Fabrication and Layout

Photolithography I ( Part 2 )

Introduction to Lithography

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

EE 330 Lecture 9. IC Fabrication Technology Part 2

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Fabrication Technology

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Silicon Manufacturing

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Lecture 5: Micromachining

Czochralski Crystal Growth

Microelectronic Device Instructional Laboratory. Table of Contents

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Semiconductor Manufacturing Process 10/11/2005

EELE408 Photovoltaics Lecture 02: Silicon Processing

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Doping and Oxidation

Nanoelectronics Fabrication Facility

Midterm evaluations. Nov. 9, J/3.155J 1

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Graduate Student Presentations

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Mostafa Soliman, Ph.D. May 5 th 2014

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Chapter 2 OVERVIEW OF MEMS

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

EE 245: Introduction to MEMS Lecture 7m1: Lithography, Etching, & Doping CTN 9/18/ Regents of the University of California

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

Thermal Nanoimprinting Basics

Lecture #18 Fabrication OUTLINE

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Make sure the exam paper has 9 pages total (including cover page)

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Major Fabrication Steps in MOS Process Flow

Surface micromachining and Process flow part 1

Total Points = 110 possible (graded out of 100)

Micromachining vs. Soft Fabrication

Microfabrication of Integrated Circuits

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Section 4: Thermal Oxidation. Jaeger Chapter 3

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Review of CMOS Processing Technology

Introduction to Nanoscience and Nanotechnology

EUV optics lifetime Radiation damage, contamination, and oxidation

Lab #2 Wafer Cleaning (RCA cleaning)

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

micro resist technology

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Development of Silicon Pad and Strip Detector in High Energy Physics

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

VLSI Technology. By: Ajay Kumar Gautam

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Semiconductor Technology

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Complexity of IC Metallization. Early 21 st Century IC Technology

Chapter 2 MOS Fabrication Technology

Atomic Layer Deposition(ALD)

Semiconductor Technology

Fabrication Technology, Part II

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

micro resist technology

3. Overview of Microfabrication Techniques

MEMS Fabrication I : Process Flows and Bulk Micromachining

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Transcription:

EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia, Ch. 3 pp. 50-56. 1 Lecture 4 by H.K. Xie

Lithography Overview Components Process Lithography 2

Lithography--Overview Cleanroom Class 100: the maximum number of particles that are larger than 0.5um is 100 per cubic foot of air Class 10 or 1 for lithography area Lithography Technique used to transfer pattern from master copy to surface of solid material Photolithography--Lithography via Photons (Light) Light Source Idealized picture: Contact and proximity lithography Optics hν Photomask Photoresist Deposited/grown layer Semiconductor substrate 3

Lithography Light Sources Ultraviolet light from Hg discharge g-line (λ= 438 nm) h-line (λ= 405 nm) i-line (λ= 365 nm) 0.3µm (using 5:1 stepper) Excimer lasers KrF (λ= 248 nm) 0.18 µm ArF (λ= 193 nm) 0.10 µm 4 May & Sze, Fundamentals of Semiconductor Fabrication, pp.66

Lithography Exposure Methods Shadow Printing Contact lithography ~1um resolution Particle damage Proximity lithography Degraded resolution: 2 to 5um Critical dimension (CD) or minimum linewidth: CD λg where λ: wavelength; g: gap between mask and wafer including photoresist thickness Projection Printing nx reduction (Ex. 2x, 5x, 10x) Projection (photomask for full wafer) Step & repeat (reticle for individual die) Contact/Proximity Projection/Stepper 5

Lithography--Photomask Photomask (1x) Clear-field Dark-field Pattern (hν opaque) Ex. Chrome, emulsion Plate (hν transparent) Ex. Quartz, borosilicate, soda-lime Clear Field Dark Field Reticle (5x, 10x) Flat Low coefficient of thermal expansion High transmission 6

Lithography--Photoresist Positive Negative Polymethylmethacrylate (PMMA) Exposure speed: slow Developer (aqueous base) Poor adhesion No swelling in developer bis(aryl)axide rubber Exposure speed: fast Developer (organic solvent) Good adhesion Swelling in developer Positive: Exposed resist becomes soluble in developer Negative: Exposed resist becomes insoluble. Ref. R. C. Jaeger, Intro. To Microelectronic Fabrication, p. 19. 7

Lithography--Developer Developer Stripper Positive resist Aqueous basic hydroxides NaOH, NH 4 OH, TMAH Negative resist Organic solvent Xylene Positive resist Over oxide steps: Acid (3 H 2 SO 4 :1 H 2 O 2 ) piranha Over metal: Simple solvent (Nanostrip) Negative resist Over oxide steps: Acid (piranha) Over metal: Chlorinated solvent 8

Lithography--Process Photomask Design Clean as needed Apply photoresist using spinner Prebake (soft-bake) Align and expose NOT OK Develop Metrology-Check alignment OK Post-bake (hard-bake) To Etch or Lift-off Strip resist 9

Next Generation Lithography Electron Beam (e-beam) Lithography Raster scan Vector scan Electron resist Extreme Ultraviolet Lithography (EUV) Wavelength: 10~14 nm 50-nm features EUV beam scanning Expensive X-Ray Lithography Wavelength: ~ 1nm Shadow printing 100-nm features Ion Beam Lithography High resolution 10

Pattern Transfer Overview Wet Etching Dry Etching Liftoff Plating Pattern Transfer (etching) 11

Pattern Transfer--Overview Lithography Etching Plating Liftoff Wet (liquid) Dry (gas) ADDDITIVE SUBTRACTIVE 12

Pattern Transfer--Wet Etching Mechanism 1) Reactant transport 2) Surface chemical reaction 3) Product removal Advantages High selectivity Batch process Disadvantages Isotropic Fluid issues (mixing, bubbles, etc.) Waste 2 1 3 Silicon substrate Resist Layer 13

Pattern Transfer--Wet etching Examples Oxide etch Buffered Hydrofluoric acid (BHF) 5:1 NH 4 :HF Thermal SiO 2 100 nm/min etch rate at R.T. Silicon ~ 0 nm/min Aluminum etch H 3 PO 4 :CH 3 COOH:HNO 3 Baker Aluminum etch Aluminum 100 nm/min at R.T. Silicon ~0 nm/min Silicon etch Isotropic etch: HNO 3 :HF:H 2 O Anisotropic etch: KOH 14

Pattern Transfer--Dry etching Plasma Reactive ++, Applied Field: low Removal via chemical reaction by ionized species Selectivity: very good Directionality: poor (isotropic) Silicon substrate Reactive ion etch (RIE) Reactive ++, Applied Field: moderate Removal via chemical reaction by ionized species Removal via momentum transfer Selectivity: good Directionality: good (fairly anisotropic) Silicon substrate Sputtering (ion milling) Reactive 0, Applied Field: high Removal via momentum transfer Selectivity: poor Directionality: very good (very anisotropic) Silicon substrate 15

Pattern Transfer--Dry etching Etching Gases Plasma Cl and F containing compounds CF 4, BCl 3, etc Reactive ion etch (RIE) Cl and F containing compounds CF 4, BCl 3, etc. Sputtering/Ion milling Inert heavy ions Ar Masking layers Depends on application Photoresist mask for SiO 2, Si SiO 2 mask for Si etch 16

Pattern Transfer--Dry etching Etching Profiles 17 from Madou, p.91

Pattern Transfer--Liftoff Process Lithography (positive resist) Film deposited on top of resist pattern Resist (mask layer) removed Advantages No need for etching film Disadvantages Film thickness less than 1/5-1/3 resist thickness Need for temperature control Contamination of film/substrate interface Silicon substrate Silicon substrate Silicon substrate Resist Film (metal) Resist 18

Impurity Doping Overview Diffusion Ion Implantation Impurity Doping 19

Impurity Doping--Overview Process Incorporation of specific impurities Diffusion from external source Ion implantation Redistribution Diffusion Vacancy diffusion Interstitial diffusion Homogenous distribution of impurity atoms Equilibrium Limited impurity source (internal) diffusion Non-equilibrium Constant impurity source (external) diffusion 20

Impurity Doping--Diffusion 21 Physics Flux proportional to concentration gradient Flux gradient proportional to time rate of change of concentration (Continuity equation for particle flux) Model N J = D x N J = t x D: Diffusion coefficient Fick s Diffusion Equation (or Fick s Law): Combining yields 1-dimensional diffusion equation where J is the particle flux, D is the diffusion coefficient, and N is the impurity concentration (#/volume). N t 2 N = D 2 x

Impurity Doping--Diffusion Case I: Constant impurity source (external at surface) N( x, t) = N0erfc 2 Dt Q= dose= 2N = π x Dt # cm 0 2 erfc: complementary error function Case II: Limited impurity source (internal) 2 Q x N( x, t) = exp π Dt 4Dt Q = constant Diffusion coefficients: D=D 0 exp(-e A /kt) (1st order) Boron: D 0 =10.5 cm2/s, E A =3.69eV Phosphorus: D 0 =10.5 cm2/s, E A =3.69eV E A : activation energy Arsenic: D 0 =0.32 cm2/s, E A =3.56eV 22

Impurity Doping--Diffusion Diffusion profiles Constant Source Limited Source Dt Q = dose = 2N Q = constant 0 π Ref. R. C. Jaeger, Intro. To Microelectronic Fabrication, p. 52-53. 23