Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Similar documents
Linx Consulting, Inc.

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

Integrated Circuit Engineering Corporation. DRAMs

ECE321 Electronics I

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Complementary Metal Oxide Semiconductor (CMOS)

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Intel Pentium Processor W/MMX

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Motorola PC603R Microprocessor

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Lattice 3256A-90LM PLD

Lecture #18 Fabrication OUTLINE

Oki M A-60J 16Mbit DRAM (EDO)

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

EE 434 Lecture 9. IC Fabrication Technology

New Materials as an enabler for Advanced Chip Manufacturing

Manufacturing Process

CMOS Processing Technology

9/4/2008 GMU, ECE 680 Physical VLSI Design

Chapter 2 Manufacturing Process

Czochralski Crystal Growth

Xilinx XC4036EX FPGA

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

DEC SA-110S StrongARM 32-Bit Microprocessor

CMP challenges in sub-14nm FinFET and RMG technologies

Renesas M5M40R326 32Mbit DRAM Memory Structural Analysis

CMOS Processing Technology

Integrated Circuit Engineering Corporation EPROM

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

IC Fabrication Technology Part III Devices in Semiconductor Processes

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

EE 143 CMOS Process Flow

Altera EPM7128SQC EPLD

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Emerging Materials for Front End IC Process

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Xilinx XC4036XL-1C FPGA

Manufacturing Process

Exam 1 Friday Sept 22

EE 330 Lecture 9. IC Fabrication Technology Part 2

Fairchild Semiconductor Application Note June 1983 Revised March 2003

UMC UM F-7 2M-Bit SRAM

CMOS Manufacturing Process

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Cost of Integrated Circuits

Manufacturing Process

NEC 79VR5000 RISC Microprocessor

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

The History & Future of

Rockwell R RF to IF Down Converter

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

MOS Front-End. Field effect transistor

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Make sure the exam paper has 9 pages total (including cover page)

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Review of CMOS Processing Technology

CMOS FABRICATION. n WELL PROCESS

Motorola MC68360EM25VC Communication Controller

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

NKK NR4645LQF Bit RISC Microprocessor

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device

Interconnects OUTLINE

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Department of Electrical Engineering. Jungli, Taiwan

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Microelectronics Devices

Isolation Technology. Dr. Lynn Fuller

ALD/CVD High-k Metal TECHCET. A Critical Materials Report. Prepared by Jonas Sundqvist, Ph.D. Edited by Lita Shon-Roy.

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Lect. 2: Basics of Si Technology

CMOS Manufacturing process. Design rule set

Chapter 5 Thermal Processes

Motorola MPA1016FN FPGA

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

SGS-Thomson M28C K EEPROM

Lattice isplsi1032e CPLD

2006 UPDATE METROLOGY

Performance Predictions for Scaled Process-induced Strained-Si CMOS

FABRICATION of MOSFETs

Lecture 0: Introduction

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Market Trends & Supply Chain Issues Report: Advanced High K & Metal ALD/CVD Precursors A TECHCET Critical Materials Report

Transcription:

Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com

Outline DRAM Logic NAND Conclusion 2

DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 Micron 31 25 20 16 14 Samsung 26 20 18 15 12 SK Hynix 30 26 21 18 14 DRAM nodes are defined as the smallest half-pitch on the device, Word Line for Micron and Active for Samsung and SK Hynix. 70% height - 37% pitch Saddle fin <4xnm 48nm single MESH capacitor 18nm double MESH capacitor 3

DRAM Scaling Issues DRAM capacitors are fabricated at the limits of mechanical stability (see figure on the right). A titanium nitride storage node is formed and then the dielectric layer and top plate are deposited over it. Higher K value dielectrics have lower band gaps and therefore higher leakage. DRAM scaling has become an optimization battle between achieving a minimum capacitance value, minimizing leakage and optimizing the peripheral circuitry. CC = kkεεεε tt dd kk = dddddddddddddddddddd cccccccccccccccc εε = pppppppppppppppppppppp A = area tt dd =dielectric thickness Capacitance Formula Band Gap Versus K Titanium Nitride Storage Node 4

DRAM Cleans and Wet Strips - 1 Blocks Active Wells/Thresholds Saddle Fin Array protect Gate oxides BL Contact BL/Gate Extension/Halos Spacer Source/Drains Peripheral ESL Critical clean, oxide CMP clean Cleans and wet strips Ashing and SPM resist strips, critical clean for anneal 4 critical cleans, pre pad ox, pre gate ox and 2 pre implant anneals. Tungsten and oxide CMP cleans and wet oxide and nitride strips. Pre pad oxide critical clean Pre gate oxide critical cleans, wet oxide etch after thick gate oxide growth Pre SiGe dep critical clean, SiGe CMP clean Wet oxide etch Ashing and SPM resist strips, critical clean for anneal Critical clean Ashing and SPM resist strips, critical clean for anneal Critical clean 5

DRAM Cleans and Wet Strips - 2 Blocks Si Memory Post PMD FEOL multi pattern M1 PMD/ILD1 Storage Node ILD1 BEOL BEOL Multipattern BSB Critical clean Cleans and wet strips PMD = pre metal dielectric. Post oxide CMP clean Multiple APM cleans in each multipattern usage Critical clean for silicide formation, possible anhydrous HF. Wet cobalt strip, wet oxide etch. Post tungsten CMP clean. ILD = interlevel dielectric. Post oxide CMP clean Nitride and oxide wet etches. Wet photoresist strip. Post oxide CMP clean Post oxide CMP clean Post via etch cleans, post aluminum etch cleans, damascene/dual damascene copper CMP clean (one for each layer), tungsten CMP cleans. Multiple semi aqueous/formulated cleans in each multipattern usage Backside bevel clean for each ArFi exposures FEOL and BEOL 6

DRAM Cleaning Counts DRAM Cleaning Count Trend. These cleaning counts are based on a Samsung DRAM process. Clean types AnHF anhydrous HF APM ammonium-peroxide Aq/Form semi aqueous/formulated for multi-patterning BEOL post metal or via etch BSB backside bevel for immersion lithography CMP post CMP clean Crit full RCA style clean Cleans 80 70 60 50 40 30 20 10 0 30 26 20 18 15 12 Node AnhHF APM Aq/Form BEOL BSB CMP DRAM Cleaning Counts [1] [1] IC Knowledge Strategic Cost Model 2018 revision 01 7

Logic Nodes 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 GLOBALFOUNDRIES 28 14FF 7FF 5? Intel [1] 22FF 14FF 10FF 7FF Samsung 28 20 14FF 10FF 7FF 6/5FF 4HNS TSMC 28 20 16FF 10FF 7FF 5FF [1] Intel nodes are roughly equivalent to the next smaller foundry node, e.g. Intel 10nm ~ foundry 7nm. FinFET (FF) Nanowire (HNW) Nanosheet (HNS) 8

Logic Technology Transitions Intel/ Foundry nodes 90/65 Embedded SiGe (esige), critical clean New technology and impact on cleans and wet strips 45/28 High-K Metal Gate (HKMG), replacement metal gate requires sacrificial poly and then replacement gate, critical cleans and CMP cleans. 22/14 FinFET, multiple STIs in some case with critical cleans and CMP cleans, raised NMOS drain, critical clean. 10/7 Multiple work functions to set threshold voltages. Eliminates threshold implants and threshold masks that required ashing/spm strips. Cobalt filled contacts or local interconnects, cobalt CMP cleans. 5/3 Stacked nanowire/nanosheets, selective SiGe removal and cleaning into cavities. Ruthenium cleans? 9

Logic Cleans and Wet Strips - 1 Planar Blocks FinFET Blocks Cleans and wet strips STI STI(1-3x)/Fin Critical clean, anhydrous HF <45nm for fins, oxide CMP clean. FinFET processes have 1 to 3 STIs that each require a set of cleans. Wells/Thresholds Wells/Thresholds Ashing and SPM resist strips, critical clean for anneal Dummy Gate Dummy Gate Critical clean for gate dep and for poly ox (2 cleans), poly CMP clean Extension/Halo NA Ashing and SPM resist strips, critical clean for anneal Spacer Spacer Critical clean Raised S/Ds Raised S/Ds Critical clean (one for each), anhydrous HF <45nm Source/Drains Source/Drains Ashing and SPM resist strips, critical clean for anneal FEOL Multipattern FEOL Multipattern Multiple APM cleans in each multipattern usage Silicide Silicide Critical clean, anhydrous HF <45nm, oxide CMP clean Dual Gate Oxide Dual Gate Oxide Critical clean (DGO is an option within RMG) 10

Logic Cleans and Wet Strips - 2 Planar Blocks FinFET Blocks Cleans and wet strips Replacement Metal Gate Replacement Metal Gate Critical clean, gate open CMP clean, work function metal CMP clean Contacts Contacts SC1 cleans (one for each), contact fill CMP clean (one for each) BEOL BEOL Post via etch cleans, post aluminum etch cleans, damascene/dual damascene copper CMP clean (one for each layer) BEOL Multipattern BEOL Multipattern Multiple semi aqueous/formulated cleans in each multipattern usage BSB BSB Backside bevel clean for each ArFi exposure FEOL and BEOL 11

Logic Cleaning Counts Logic Cleaning Count Trend. These cleaning counts are based on a TSMC process. Clean types AnHF anhydrous HF APM ammonium-peroxide Aq/Form semi aqueous/formulated for multi-patterning BEOL post metal or via etch BSB backside bevel for immersion lithography CMP post CMP clean Crit full RCA style clean Cleans 160 140 120 100 80 60 40 20 0 5nm reduction due to EUV replacing multi patterning 28 20 16 10 7 5 Node AnhHF APM Aq/Form BEOL BSB CMP Crit Logic Cleaning Counts [1] [1] IC Knowledge Strategic Cost Model 2018 revision 01 12

3D NAND Fabrication 1. CMOS fabrication some of the CMOS may be under the array requires interconnect under the array. 2. Memory array formation single string or string stacking. String stacking repeats layer deposition and channel hole etch with single channel hole fill. 3. Interconnect Memory array masks Channel mask 1 stair step mask for each 8 to 10 layers 1 or 2 slot masks Via mask Clear out masks Layers and strings Memory array string formation (Samsung/Toshiba) 13

3D NAND Cleans and Wet Strips - 1 Blocks Active Wells/Thresholds Gate oxides Gate Extension/Halos Spacer Source/Drains Memory Layers Channel Stair Step Critical clean and oxide CMP clean Cleans and wet strips Ashing and SPM resist strips, critical clean for anneal Pre gate oxide critical cleans, wet oxide etch after thick gate oxide growth Critical clean Ashing and SPM resist strips, critical clean for anneal Critical clean Ashing and SPM resist strips, critical clean for anneal Critical clean 4x critical cleans, pre Epi, pre poly dep, pre poly oxide growth and pre poly plug dep. Post oxide and poly CMP cleans Post oxide CMP for all stair steps except the first one 14

3D NAND Cleans and Wet Strips - 1 Blocks Slot BEOL BEOL Multipattern BSB Cleans and wet strips 3x critical cleans, pre gate oxide, pre liner dep, pre TiN dep. Post W CMP clean. Wet sacrificial nitride removal Post via etch cleans, post aluminum etch cleans, damascene/dual damascene copper CMP clean (one for each layer) Multiple semi aqueous/formulated cleans in each multipattern usage Backside bevel clean for each ArFi exposure FEOL and BEOL 15

3D NAND Cleaning Counts 3D NAND Cleaning Count Trend. 60 50 These cleaning counts are based on a Samsung process. Clean types AnHF anhydrous HF APM ammonium-peroxide Aq/Form semi aqueous/formulated for multi-patterning BEOL post metal or via etch BSB backside bevel for immersion lithography CMP post CMP clean Crit full RCA style clean Cleans 40 30 20 10 0 24L 32L 48L 64L 96L 128L Node AnhHF APM Aq/Form BEOL BSB CMP Crit 3D NAND Cleaning Counts [1] [1] IC Knowledge Strategic Cost Model 2018 revision 01 16

Conclusion DRAM scaling is facing fundamental physical issues with no clear solution in sight. DRAM cleans are growing driven mainly by multi-patterning and more immersion lithography layers. Logic continues to scale with 5nm and 3nm nodes on the horizon. Nanowires and nanosheets will present new cleaning challenges. Logic cleans are growing rapidly mainly driven by multi-patterning and growing mask layer counts, but at 5nm EUV has the potential to significantly reduce the number of lithography related cleans. NAND scaling has switched to 3D layer based scaling with a path into at least the middle of the next decade. 3D NAND cleans are growing driven by CMP cleans related to stair step formation. 17