New Precursors for CVD Copper Metallization

Similar documents
ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Development of different copper seed layers with respect to the copper electroplating process

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

Thermal Evaporation. Theory

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Development of Low-resistivity TiN Films using Cat Radical Sources

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Despite Hype, Atomic Layer Deposition Shows Promise

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Plasma-Enhanced Chemical Vapor Deposition

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

1.1 Background Cu Dual Damascene Process and Cu-CMP

Lecture Day 2 Deposition

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Fabrication and application of high quality diamond coated. CMP pad conditioners

Chapter 9 Summary and outlook

Chapter 3 Silicon Device Fabrication Technology

University of Texas Arlington Department of Electrical Engineering. Nanotechnology Microelectromechanical Systems Ph.D. Diagnostic Examination

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Physical Vapor Deposition (PVD) Zheng Yang

Platypus Gold Coated Substrates. Bringing Science to the Surface

Mostafa Soliman, Ph.D. May 5 th 2014

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

MICROCHIP MANUFACTURING by S. Wolf

Czochralski Crystal Growth

IC/MEMS Fabrication - Outline. Fabrication

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

In-situ Monitoring of Atomic Layer Deposition Processes

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Effect of barrier layers on the texture and microstructure of Copper films

Thomas Waechtler, Shao-Feng Ding, Lutz Hofmann, Robert Mothes, Qi Xie, Steffen Oswald,

MICROCHIP MANUFACTURING by S. Wolf

Lecture 22: Integrated circuit fabrication

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Semiconductor Device Fabrication

Copper Interconnect Technology

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Oxidation of Silicon

Fabrication Technology

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

Microtexture measurement of copper damascene line with EBSD

Crystalline Silicon Technologies

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets*

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Chapter 16 Corrosion and Degradation of Materials

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L.

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications

Thin Film Gas Sensor. Nanoelectronics and MEMS Laboratory National Electronics and Computer Technology

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

TANOS Charge-Trapping Flash Memory Structures

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

3D technologies for integration of MEMS

Overview of Dual Damascene Cu/Low-k Interconnect

Device Fabrication: Metallization

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Ultra High Barrier Coatings by PECVD

SUPPLEMENTARY INFORMATION

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Alternatives to Aluminium Metallization

Chapter 3 CMOS processing technology

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1

UV15: For Fabrication of Polymer Optical Waveguides

Investigation of overpotential and seed thickness on damascene copper electroplating

Institute of Solid State Physics. Technische Universität Graz. Deposition. Franssila: Chapters 5 & 6. Peter Hadley

Lect. 2: Basics of Si Technology

SKW Wafer Product List

Oxidation SMT Yau - 1

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen

Microfabrication of Integrated Circuits

Direct Liquid Injection Vaporizers for High-Efficiency, Cost-effective Photovoltaic Manufacturing

Complexity of IC Metallization. Early 21 st Century IC Technology

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

ARTICLE IN PRESS. Materials Science in Semiconductor Processing

Chemical Vapor Deposition

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Transcription:

The following is a post-print of the original article: John A. T. Norman, Melanie Perez, Stefan E. Schulz, Thomas Waechtler, New Precursors for CVD Copper Metallization, Microelectron. Eng. 85, 2159-2163 (2008). ISSN: 0167-9317 Digital Object Identifier: 10.1016/j.mee.2008.05.036 Available via http://www.sciencedirect.com or http://dx.doi.org/10.1016/j.mee.2008.05.036 2008 Elsevier B.V. New Precursors for CVD Copper Metallization John A. T. Norman a, *, Melanie Perez a, Stefan E. Schulz b, Thomas Waechtler b a Air Products and Chemicals, 1969 Palomar Oaks Way, Carlsbad, CA 92011, USA b Chemnitz University of Technology, Center for Microtechnologies, 09126 Chemnitz, Germany * Corresponding author. Tel.: +1 (760) 931-2033; fax: +1 (760) 929-6209. E-mail address: normanja@apci.com (J. Norman). 1

Abstract A novel CVD copper process is described using two new copper CVD precursors, KI3 and KI5, for the fabrication of IC or TSV (Through Silicon Via) copper interconnects. The highly conformal CVD copper can provide seed layers for subsequent copper electroplating or can be used to directly fabricate the interconnect in one step. These new precursors are thermally stable yet chemically reactive under CVD conditions, growing copper films of exceptionally high purity at high growth rates. Their thermal stability can allow for elevated evaporation temperatures to generate the high precursor vapor pressures needed for deep penetration into high aspect ratio TSV vias. Using formic acid vapor as a reducing gas with KI5, copper films of > 99.99 atomic % purity were grown at 250 C on titanium nitride at a growth rate of > 1500 Å/min. Using tantalum nitride coated TSV type wafers, ~ 1700 Å of highly conformal copper was grown at 225 C into 32 µm 5 µm trenches with good adhesion. With ruthenium barriers we were able to grow copper at 125 C at a rate of 20 Å/min to give a continuous ~ 300 Å copper film. In this respect, rapid low temperature CVD copper growth offers an alternative to the long cycle times associated with copper ALD which can contribute to copper agglomeration occurring. Keywords Copper CVD, TSV, seed layers 2

1. Introduction 3-D packaging is steadily gaining momentum as the key technology for building high performance, energy efficient and compact semiconductor devices for a multitude of applications [1]. Processes for manufacturing the copper through silicon vias (TSVs) required for 3-D are currently under intensive investigation, including copper chemical vapor deposition (CVD). Since the latter process is well known to deposit uniform metal films with excellent step coverage, it continues to be a promising technique for semiconductor interconnect manufacturing. Being of inherently superior step coverage compared to the more line-of-sight physical vapor deposition technique (PVD), it can provide conformal seed layers for integrated circuit (IC) or TSV interconnect via fill by copper electroplating. It can also be used to fill vias and build the interconnects directly. With PVD techniques to date being successfully applied for damascene IC metallization, PVD copper is currently under consideration for fabricating TSV seed layers. However, the relatively massive scale of TSVs hampers PVD s ability to provide conformal copper films, especially in vertical walled high aspect ratio vias. By contrast, copper CVD has been successfully demonstrated [2] as an effective alternative process for this application, the natural extension of which is complete via fill. As 3-D matures, the density of TSV structures per unit area will greatly increase, driving their aspect ratios higher. From this perspective, the evolution of TSV geometries makes copper CVD additionally attractive. Also, it can be accomplished on a cluster tool thereby offering the potential of sequentially depositing a diffusion barrier and then copper seed or fill. 3

The barrier metal choice for IC interconnects continues to be a combination of Ta and TaN. An ultra thin layer of ruthenium may prospectively be added as a glue layer to provide good adhesion between the barrier structure and copper. For this reason, we explored depositions onto ruthenium using both KI3 and KI5 precursors, particularly at low wafer temperatures to help avoid copper agglomeration which can disrupt the continuity of the thin copper seed films. For TSVs, this is not an issue since the seed layers can be grown much thicker. Candidate TSV barrier materials include TaN, TiN and TaCN all of which were used in our study. The first two can be deposited by PVD, CVD or ALD and the last potentially by CVD or ALD. 2. Precursor considerations An effective copper CVD precursor must deliver pure copper films with good step coverage and high growth rates. It must also be stable at elevated evaporation temperatures to deliver high vapor pressures for high CVD growth rates. This is especially important for TSV metallization where a high via density per unit area of wafer effectively amplifies the substrate surface to be metallized. Thus, precursor depletion near the bottom of the vias must be avoided by using high precursor vapor pressures to drive the metal deposition right to the bottom of these structures. Additionally, a wide process window is desirable to allow both the low temperature growth of ultra thin IC copper seed layers and higher temperature rapid copper growth for TSV type packaging applications. The new molecules KI3 and KI5, originally designed for ALD copper [3] are direct descendants of the most widely used copper precursor, Cu(hfac)(tmvs) (i.e. CupraSelect ) 4

[4]. This fluorinated precursor grows pure copper films at a temperature of ~ 200 C in a thermal disproportionation reaction according to the Equation (1) below. The organometallic bond between copper and the olefin group is weak and easily broken allowing the release of tmvs as a free molecule which drives the metallization reaction. As can be seen, for every two atoms of copper introduced into the CVD chamber as precursor, one is lost to the CVD effluent stream as the volatile by-product Cu(hfac) 2. This sets the maximum metallization efficiency at 50% and the Cu(hfac) 2 by-product, a solid at room temperature, needs to be managed downstream of the reactor. Copper deposition made onto barrier films such as tantalum, tantalum nitride or titanium nitride tends to exhibit poor adhesion since fluorine containing impurities from precursor decomposition form at the copper-barrier interface. However, this adhesion problem does not apply to ruthenium barriers. SiMe 3 F 3 C CF 3 O O Cu Cu 0 Cu +2 SiMe 3 2 + 2 O O O O + (1) F 3 C CF 3 F 3 C CF 3 Cu(hfac)(tmvs) Cu(hfac)2 tmvs 3. Structures and thermal properties of KI3 and KI5 In the molecules KI3 and KI5, shown in Figures 1 and 2, a similar olefin group as found in CupraSelect is directly attached to the main body of the precursor to form a seven membered ring as it coordinates through an organometallic bond to copper. 5

Si O O Cu N F 3 C CF 3 Fig. 1. Copper precursor KI3. Fig. 2. Copper precursor KI5. The formation of this ring structure imparts great stability so that heating these precursors leads to intact evaporation of the precursor rather than disproportionation or decomposition, 6

as shown in the thermogravimetry (TGA) and differential scanning calorimetry (DSC) results in Figure 3. Being fluorinated, KI3 is considerably more volatile than unfluorinated KI5, as displayed in Figure 4. However, since these molecules are thermally stable, high source temperatures can be used to deliver high vapor pressures without the concern of decomposition occurring. For example, at 140 C KI5 has a vapor pressure of > 2 Torr which exceeds the maximum vapor pressure achievable from CupraSelect at ~ 70 C since above this temperature the latter can decompose. KI5 also avoids both fluorine based adhesion problems and the cost associated with fluorinated precursors. Having established the stability and volatility of these molecules, our challenge in this work was to then find a way to use them as precursors for copper CVD, focusing mostly on KI5. TG / % 100 80 60 40 20 0 KI5 Residual Mass: 1.22 % (377.5 C) KI3 50 100 150 200 250 300 350 Temperature / C DSC / (uv/mg) [2.1] exo [1.1] 2 0-2 -4-6 -8-10 -12 [1.1] [2.1] -14 Fig. 3. TGA (dashed line) and DSC (solid line) for KI3 and KI5. 7

Fig. 4. Vapor pressures of KI3 and KI5. 4. Screening KI3 and KI5 as CVD copper precursors 4.1. KI3 We started our evaluation with the KI3 precursor and then applied our findings towards building a CVD process using KI5. For these purposes we used both a home built hot wall reactor and a modified Varian Gartek single wafer reactor [5, 6]. In the former, the KI3 was delivered into the system by simple bubbling whereas in the latter the KI3 was dissolved in N-methylpyrrolidone (NMP) at 3:1 KI3 to NMP weight ratio. The resulting solution was dosed into the reactor by a Bronkhorst liquid delivery system (LDS). In this approach the precursor stock is kept at room temperature. Only during the CVD process is the liquid 8

transported to an evaporator unit where it is vaporized at 85 to 100 C and mixed with argon carrier gas before it is introduced into the reactor. The net results of these experiments were that hydrogen, water and isopropanol, and mixtures thereof, would only give scattered copper nuclei on titanium nitride and ruthenium substrates (although favoring ruthenium) when using the Gartek reactor and either faint or no copper depositions using the hot wall reactor with hydrogen. This was a surprise, since previously we had successfully run KI3 in ALD mode using hydrogen in the same temperature range [7]. However, when we tried formic acid as reagent gas in either reactor we readily achieved copper deposition, presumably through the reducing action of the atomic hydrogen which forms when formic acid contacts various metal surfaces, including copper and ruthenium [8]. Alternatively, the formic acid and copper precursor form a complex which then breaks down to give copper metal. 4.2. KI5 We then ran KI5/formic acid, under comparable conditions to KI3, in the hot wall reactor where at 250 C we grew 3.5 µm of copper onto titanium nitride at a rate of > 1500 Å/min and showed by SIMS analysis that the copper contained no detectable carbon, hydrogen, nitrogen, oxygen or silicon making it at least 99.99 atomic% copper. We also achieved deposition of ~ 300 Å pure and continuous copper on ruthenium at 125 C, at a rate of 20 Å/min as shown in Figure 5. At this point we then transferred the formic acid process to a Vactronics [9] single wafer reactor to more carefully explore the properties of KI5. A summary of conditions used in the screening studies are found in Table 1. 9

Fig. 5. A TEM cross section of ~ 300 Å of copper grown onto Ru at 125 C using KI5/formic acid in the hot wall reactor. Table 1. Basic CVD process conditions for the Gartek single wafer and hot wall reactors used in the screening studies. Reactor Hot wall (KI3) Chamber Pressure (Torr) Wafer temp range ( C) Formic acid feed rate Precursor source ( C) Precursor carrier gas flow rate (sccm) 2 150-250 150 sccm 57-75 25 KI3 feed rate with LDS (mg/min) Single wafer (KI3) Hot wall (KI5) 1 230-300 82 mg/min 100 500 41 2 125-250 150 sccm 85 to 120 25 10

5. CVD copper processing using the Vactronics reactor 5.1. TiN, TaN and TaCN substrates Figure 6 shows the copper film thickness as a function of run time using TaN, TiN and TaCN substrates. The KI5 source was kept at 120 C, using 100 sccm helium as carrier gas. Formic acid reagent was delivered by vapor draw at a rate of ~ 180 sccm at 30 C. The wafer temperature was 225 C and CVD chamber pressure was kept at 2 Torr. All three substrates show a fairly linear growth rate with time, especially for TaCN. Copper thickness (Å/1000) Copper CVD using KI5/formic acid at 225 C 30 25 20 15 10 5 TaN TiN TaCN 0 0 10 20 30 40 50 Deposition time (minutes) Fig. 6. Thickness of CVD copper obtained using KI5/formic acid. 11

6. Adhesion Excellent adhesion was observed for all copper films grown onto ruthenium up to 2.5 µm thick as determined by scribing through the copper down to silicon in a # design then applying and removing Scotch tape. No copper was removed. Similarly, adhesion was also excellent on gold and platinum i. e. metals with no native oxide thereby allowing metal to copper bonding. For TiN, TaCN, and TaN, adhesion passed the # Scotch tape test at < 1800 Å Cu, but failed at greater copper thicknesses. The TaN samples > 1800 Å were annealed at 375 C under vacuum for 30 min after which they passed the adhesion test. 3500 Å copper films grown onto thermal silicon dioxide at 250 C gave a resistivity of 2.03 µωcm, as derived from sheet resistance measurements using a 4-point probe. 7. TSV metallization We processed PVD TaN coated patterned wafers featuring trenches and vias whose dimensions approach those encountered in TSVs. Cross sectioned samples for imaging were then made by simply fracturing the wafer. The SEM images in Figure 7 show an approximately 1700 Å conformal copper film grown at 225 C, 8 Torr chamber pressure, deposited into ~ 5 µm 32 µm trenches (aspect ratio = 6.4). This result shows a high potential for our KI5/formic acid process for TSV copper metallization. 12

Fig. 7. Conformal copper deposition onto a TaN TSV wafer. From the top left, clockwise, is shown a row of trenches, the top of the trenches, midway on the trench wall and bottom of the trench. 8. Summary For the first time, copper CVD was demonstrated using two newly developed Cu(I) ketoiminate precursors, KI3 and KI5. Compared to Cu(hfac)(tmvs) [CupraSelect ], the established precursors for copper CVD, these two novel molecules display enhanced thermal stability while retaining high CVD reactivity. These properties allow for much higher evaporation temperatures and hence increased precursor feed rate due to enhanced vapor 13

pressure both for the fluorinated KI3 and the unfluorinated KI5. With these two precursors, pure copper films were obtained using formic acid vapor as reducing gas on Ru and TiN substrates with growth rates up to 1500 Å/min (250 C, KI5 on TiN). KI5 was also applied to TaN and TaCN substrates in addition to TaN TSV patterned wafers which showed a promising conformal deposition of approximately 1500 Å of a copper seed layer for subsequent electrochemical metallization. Acknowledgments Financial support obtained from the German Research Foundation (DFG) within the International Research Training Group 1215 "Materials and Concepts for Advanced Interconnects" is gratefully acknowledged. References [1] A. Keigler, K. O'Donnell, Z. Liu, B. Wu, J. Trezza, Semiconductor International 30 (9) (2007) 36-44. [2] L. Hofmann, M. Kuechler, T. Gumprecht, R. Ecke, S.E. Schulz, T. Gessner, Advanced Metallization Conference (AMC), Albany, New York USA, 2007. [3] J.A.T. Norman, M. Perez, X. Lei, H. Cheng, ECS Transcations 3 (15) (2007) 161-170. [4] J.A.T. Norman, B.A. Muratore, P.N. Dyer, D.A. Roberts, A.K. Hochberg, Journal de Physique Ι 1 (C2) (1991) 271-278. [5] J. Röber, C. Kaufmann, T. Gessner, Applied Surface Science 91 (1995) 134-138. 14

[6] H. Wolf, J. Röber, S. Riedel, R. Streiter, T. Gessner, Microelectronic Engineering 45 (1999) 15-27. [7] J. Norman, M. Perez, AVS 7th International Conference on Atomic Layer Deposition (ALD 2007) San Diego, CA (USA) (2007). [8] Y.-K. Sun, W.H. Weinberg, Journal of Chemical Physics 94 (6) (1991) 4587-4599. [9] J.A.T. Norman, D.A. Roberts, A.K. Hochberg, Materials Research Society Symposium Proceedings 282 (1993) 347-352. 15