Examples of dry etching and plasma deposition at Glasgow University

Similar documents
Lect. 2: Basics of Si Technology

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Review of CMOS Processing Technology

Lecture 22: Integrated circuit fabrication

Lecture Day 2 Deposition

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Chapter 3 Silicon Device Fabrication Technology

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

200mm Next Generation MEMS Technology update. Florent Ducrot

Czochralski Crystal Growth

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Today s Class. Materials for MEMS

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Corial PS200 4-sided multi-module platform

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

Atomic Layer Deposition(ALD)

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Chemical Vapor Deposition

EE 143 CMOS Process Flow

5.8 Diaphragm Uniaxial Optical Accelerometer

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

EE 330 Lecture 9. IC Fabrication Technology Part 2

Via etching in BCB for HBT technology

Instructor: Dr. M. Razaghi. Silicon Oxidation

EECS130 Integrated Circuit Devices

Physical Vapor Deposition (PVD) Zheng Yang

9/4/2008 GMU, ECE 680 Physical VLSI Design

FABRICATION OF GaAs DEVICES

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

Ultra High Barrier Coatings by PECVD

Surface Micromachining

IC/MEMS Fabrication - Outline. Fabrication

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

High Barrier Multi-Layer Parylene Coating

Semiconductor Manufacturing Process 10/11/2005

Isolation Technology. Dr. Lynn Fuller

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Materials Characterization

Gallium Nitride Based HEMT Devices

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Plasma..TI'1eITI1 I.P.

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application

Micromachining AMT 2505

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

TANOS Charge-Trapping Flash Memory Structures

INTEGRATED-CIRCUIT TECHNOLOGY

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Complementary Metal Oxide Semiconductor (CMOS)

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Chapter 2 Manufacturing Process

Mirror Coatings for Next Generation Detector

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Etching Mask Properties of Diamond-Like Carbon Films

Lecture #9: Active-Matrix LCDs

Si DRIE APPLICATION In Corial 210IL

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

3. Overview of Microfabrication Techniques

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

1. Introduction. 2. Experiments. Paper

Silicon-on-insulator (SOI) was developed in the

Packaging solution for GaN-on-200mm Si power devices

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Mostafa Soliman, Ph.D. May 5 th 2014

GE Sensing & Inspection Technologies MEMS. Global Solutions for Microsystems

Photovoltaics. Thin film equipment from Oxford Instruments. The Business of Science

Laser fabrication technologies. Brian Corbett

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L.

SiC high voltage device development

Chapter 7 Polysilicon and Dielectric Film Deposition

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Fabrication and Layout

Surface micromachining and Process flow part 1

National Nano Fabrication Centre (NNFC)

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Cornell NanoScale Facility Dry Etch Capabilities

Midterm evaluations. Nov. 9, J/3.155J 1

Semiconductor Technology

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

CMOS Processing Technology

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

CMOS Processing Technology

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Transcription:

Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch characterisation. As the absolute precision of pattern transfer and the process thermal budget are the dominant issues in the fabrication of nanometre scaled devices, our particular strength has been studies of plasma-induced damage and low-temperature plasma deposition for high-performance devices These have lead to a fundamental understanding of the mechanisms of dry etching and have been of great relevance to device design and fabrication. The range of materials we etch is extensive and not only includes III-V, II-VI and IV semiconductors, but also high-k dielectrics, metals, insulators and plastics. JWNC etching and plasma deposition facilities are in constant use by members of a wide range of research groups within the university. We do collaborative research work with external organisations and a number of specific processes have been developed for industry. Low-temperature PECVD for dielectric film deposition is one of the key processes in the fabrications of Si-Ge and III-Vs for high performance devices. Glasgow PECVD tools have been routinely used to deposit conformal and uniform dielectric films of SiO2, SiNx as well as low stress SiNx at ~300 C. Glasgow has developed a novel room temperature ICP-CVD technology, which has yielded high-quality low stress SiN films with very low hydrogen content <3at.%. The film optical index is well correlated with the film composition. The main advantage of ICP-CVD over PECVD is its high-density plasmas. The optimised deposition conditions can counterbalance the negative effects of lowering deposition temperature. Ultra thin 5nm room-temperature-grown ICP-SiN film embedded in a MIM capacitor with 100% yield across a wafer was realised with a capacitance of 6.7fF/µm 2 and a breakdown

electric field >3x10 6 Vcm -1. Very little plasma-induced damage was observed on Van de Pauw samples of GaAs-based HEMT layer structures grown by MBE. The ICP-SiN has been successfully incorporated into Si-Ge and III-V fabrication processes. We hope the following library of images highlights the breadth of experience we have in applying both dry etching and plasma deposition to micro and nanofabrication 30 nm tungsten grating by RIE using SF 6 Ring resonator in GaAs/AlGas by RIE using SiCl 4 Photonic bandgap structure etched in SiCl 4

Cross section of etched PBG structure showing resist mask Cross section of etched PBG structure An array of pillars in gallium nitride formed by patterning and etching a silicon nitride mask using CHF 3 followed by gallium nitride etching using CH 4 /H 2

60 nm tungsten gates with resist mask still to be removed. Low damage W sputtering and RIE using SF 6 /N 2 were used 25 nm wide sputtered W gate formed by low damage RIE using SF 6 /N 2, with room temperature ICP-CVD SiN side-wall spacers Liquid flow channels in silicon etched by STS-ICP using SF 6 /C4F 8

15 µm wide Si trenches with very good anisotropy and very high aspect ratio etched by STS ICP using SF 6 /C4F 8 plasma 40 nm wide Si wires with an aspect ratio of 13:1 etched by STS ICP using SF 6 /C4F 8 plasma. On the left are sub 10 nm lines defined in diamond by RIE and O 2 using an HSQ mask which is still present. The diamond is etched to a depth of 20 nm. The etch tool used was an Oxford Plasma Technology BP80. On the right the HSQ mask has been removed using HF.

60 nm high aspect lines in GaAs by RIE using SiCl 4 Array of pillars in GaAs etched by RIE using SiCl 4 RIE low damage gate recess selective etching of GaAs on AlGaAs using SiCl 4 /SiF 4 /O 2 RIE CH 4 /H 2 etching of InAlGaAs

High quality ICP-CVD SiN films with excellent conformal coating. The 50 nm SiN film has successfully covered a 150 nm metal step. 70 nm SiGe active device using the high quality low temperature ICP-CVD SiN. The distance to channel ~ 13nm.