Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Similar documents
Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Hybrid BARC approaches for FEOL and BEOL integration

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

Pattern Dependent Satellite Defects in Via Lithography

Thermal Nanoimprinting Basics

Advanced developer-soluble gap-fill materials and applications

Understanding. Brewer Science

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Introduction to Nanoscience and Nanotechnology

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Via etching in BCB for HBT technology

TANOS Charge-Trapping Flash Memory Structures

Chemical Vapor Deposition

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000

Physical Vapor Deposition (PVD) Zheng Yang

A novel 248-nm wet-developable BARC for trench applications

Key Technologies for Next Generation EUV Lithography

Microbridge reduction in negative tone imaging at photoresist pointof-use

Effects of carbon/hardmask interactions on hardmask performance

Journal of Advanced Mechanical Design, Systems, and Manufacturing

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Czochralski Crystal Growth

W Metallization in a 3-D Memory

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Technical Data Sheet Technisches Datenblatt

RIE lag in diffractive optical element etching

FIB mask repair technology for EUV mask 1. INTRODUCTION

Chapter 3 Silicon Device Fabrication Technology

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

MEMS Surface Fabrication

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture #18 Fabrication OUTLINE

Eco-Friendly Photolithography Using Water- Developable Pure Silk Fibroin

EECS130 Integrated Circuit Devices

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Introduction to Lithography

Lessons Learned from SEMATECH s Nanoimprint Program

Lithography options for the 32nm half pitch node. imec

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Today s Class. Materials for MEMS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

Microfabrication of Integrated Circuits

Towards cost-effective and low defectivity DSA flows for line/space patterning

Complexity of IC Metallization. Early 21 st Century IC Technology

Lecture 22: Integrated circuit fabrication

Problem 1 Lab Questions ( 20 points total)

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

2005 ANNUAL REPORT 2005 ANNUAL REPORT

Influences of silicon nano-crystallized structures on the optical performance of silicon oxynitride rib-type waveguides

5.8 Diaphragm Uniaxial Optical Accelerometer

Lect. 2: Basics of Si Technology

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Photomask Fabrication: Designing of Test Structure

Overview of Dual Damascene Cu/Low-k Interconnect

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

UV15: For Fabrication of Polymer Optical Waveguides

Fabrication of sub-100nm thick Nanoporous silica thin films

ECE321 Electronics I

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Silicon Microparticle Ejection Using Mist-jet Technology

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

micro resist technology

Photoresist and Process Issues on 193nm Immersion Lithography

LAM 490 Etch Recipes. Dr. Lynn Fuller

2008 Summer School on Spin Transfer Torque

EE 143 CMOS Process Flow

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Test Patterns for Chemical Mechanical Polish Characterization

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Deep SiO 2 etching with Al and AlN masks for MEMS devices

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma

ABSTRACT 1. INTRODUCTION

Lecture Day 2 Deposition

Transcription:

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd., Hsinchu, Taiwan, R.O.C; Hwan-Sung Cheon, Sang Kyun Kim, Hyeon Mo Cho, Kyong-Ho Yoon, Jong Seob Kim, Tuwon Chang Polymer/Synthesis Group, Electronic Chemical Material R&D Center, Samsung Cheil Industries Inc., 332-2, Gocheon-Dong, Uiwang-Si, Gyeonggi-Do, Korea 437-711 Seongho Shin Applications Engineering Systems (AES), Electronic Chemical Materials R&D Center, Samsung Cheil Industries Inc., 332-2, Gocheon-Dong, Uiwang-Si, Gyeonggi-Do, Korea 437-711 ABSTRACT Amorphous Carbon Layer (ACL) and SiON system has been proven to be a good hardmask combination. These layers are formed by a high cost, low throughput CVD process. This paper discloses a reliable, low cost, high throughput process using a simple spin on layer structure. Through manipulation of various parameters, additional BARC layer is eliminated and the process is further simplified to a tri-layer structure. Also, PR/SiON/ (Carbon-Spin-On-Hardmask) system has been compared to PR / (Si-Spin-On-Hardmask ) / system and found their performances are comparable. This indicates the PR / / process is an economical yet comparable substitute. Keywords: Amorphous carbon layer (ACL), etch selectivity, spin-on organic hardmask, tri-layer resist process (TLR) 1. INTRODUCTION As the feature size of modern Si ICs shrinks, the aspect ratio of the circuit pattern increases proportionally. In order to keep the same aspect ratio to support the fine patterns one must use a relatively thin layer of photo resist (PR). However this thin layer photoresist can not withstand the consequent etch step. A common solution is to use another material in an intermediate layer to further transfer the pattern to working layer. The methodology of indirectly transferring pattern can help resolve the PR layer s insufficient etch resistance (poor selectivity) problems by appropriately selecting suitable intermediate layer materials and etch conditions to manipulate the selectivity between intermediate material layers and PR / working layers. These intermediate layers are called hardmask layers. As technology node has entered deep submicron generation, the hardmask layer technology becomes an inevitable process due to thin PR layer, required by the fine patterns. A system with the combination of Amorphous-Carbon-Layer (ACL) and Silicon Oxynitride (SiON) layer is an effective hardmask solution. However, ACL and SiON layers are formed using high Cost of Ownership (CoO) and low throughput CVD vacuum processes. Besides, ACL layer has a tendency to cause fine carbon aggregative particle issues on its surface. Spin-On-Hardmask (SOH) layers, on the contrary simply using Lithography Asia 2008, edited by Alek C. Chen, Burn Lin, Anthony Yen, Proc. of SPIE Vol. 7140, 71402Q 2008 SPIE CCC code: 0277-786X/08/$18 doi: 10.1117/12.804695 Proc. of SPIE Vol. 7140 71402Q-1

the spin coating technology, can provide an effective alternative to achieve comparably to costly and problematic ACL / SiON process. In this paper we use Silicon-Spin-On-Hardmask () / Carbon-Spin On Hardmask () combination from Samsung Cheil Industries as a good hardmask solution. Through the manipulation of Si layer composition, thickness, and its n and k values, these hardmask layers can show adequate antireflective properties, so we do not need to apply an additional Bottom Anti-Reflective Coating (BARC) layer, and as a result, the process is simplified to a tri-layer spin on structure. To verify this structure can work well, in this paper, we compared PR / SiON / system to PR / / system (Figure 1) and found their performances are competitively close. This PR / / process is an economical yet comparable substitute for the ACL and SiON process in the application for submicron and nano scale patterning technology. PR BARC SiON ACL PR Sub. C-HM Figure 1. Schematic picture for process layer structure PR PR PR PR SiON 2. EXPERIMENTAL AND RESULT DISCUSSION In this paper, two types of hardmask processes were investigated, with serving as the under layer, for both and SiON and serving as the top layer for each. A photoresist layer was coated on the top of the respective stack. After the transfer of the patterns from the photoresist layer, the patterned layer SiON and serve as a mask for the etching step. Using the resulting patterned, the substrates (Silicon nitride, ) can be etched until the desired depth is obtained. (Please refer to Figurer 2 and Table 1) Sub. V.S. Sub. PR SiON SiON etch SiON etch etch SiON / / process Proc. of SPIE Vol. 7140 71402Q-2

PR etch etch etch / / process Figure 2. SiON / process and / process PECVD SiON process Spin on process Si hardmask layer SiON Layer formed temperature 350 ~400 (PECVD) 240 (Spin on, baking) Table 1. Process difference for these 2 cases. The thickness for each layer using the SiON stack was / / SiON / PR=1300Å / 2700Å / 1000Å / 3500Å. The thickness for each layer using the stack was / / / PR=1300Å / 2700Å / 1000Å / 3500Å. All lithography patterning was performed using Leica E-beam writer. Samsung Cheil, Samsung Cheil and Sumitomo NEB22 photoresist were successfully spun on coated onto 6 stacked substrate wafers to the prescribed thickness and baked. These samples were exposed using Leica E- beam writer with the exposure dose of E=7.8µC/cm2. The exposed wafers were post-exposure-baked and developed with 2.38% TMAH developer for 90 seconds. 100 nm line and 200 nm space pattern was accomplished for both SiON and stacks. Figure 3 showed the cross-sectional SEM of the E-beam developed pattern. The pattern appearance looks very good for all of the line width, thickness and the pitch. It demonstrated a very good integrity and consistency for the CD control. The walls of the pattern showed very good perpendicularity. There was no distortion of the pattern. Proc. of SPIE Vol. 7140 71402Q-3

PR 350nm 100nm 200nm Si-hardmask Figure 3. E-beam lithography result For the Si hardmask layer we compared the processing results of SiON etch and etch. SiON was CVD ed and was spun onto the layer. Resist pattern transferred to the SiON layer of the stack was processed using ULVAC NE 550 ICP etcher. A 60 sccm CF4, 6 sccm O2, 100W antenna power, 50W bias power plasma was applied to SiON layer for 330 seconds. On the other hand, resist pattern transfer to the layer of the stack was processed using the same tool and recipe except the etch time was reduced to 180 seconds due to its higher etch rate. We found from the cross-sectional SEM that there was no resist remained after completed SiON etch (see figure 4a). This made the SiON material have a domed round head. On the other hand, there was a 2130Å resist remaining after completed etch (see figure 4b). This was due to the better selectivity to photoresist for the than SiON (0.73 v.s. 0.29, from figure 5 and table 2). This was because had a higher etch rate than SiON (333 Å/min V.S. 181.8 Å/min, from figure 5 and table 2). The selectivity to resist for both cases was lower than one. This was due to the introduction of the O2 into the etching gas. The reason to add O2 into the etch gas was to increase the CF4 dissociations for enhancing the etch rate. material showed a better selectivity to PR than what SiON layer did, as a result, system requires a thinner layer of PR than SiON does. Thinner PR makes the process easier to fit more stringent DOF requirement in the finer pattern application. Proc. of SPIE Vol. 7140 71402Q-4

SiON 100nm 200nm 100nm 25.0kv 90.Okx 500nm Figure 4a. Cross-sectional SEM after etch of SiON PR 102nm Figure 4b. Cross-sectional SEM after etch of Proc. of SPIE Vol. 7140 71402Q-5

Remaining thickness for the layer Remaining thickness 4000 3000 2000 1000 0 0 1 SiON PR (SiON) PR () Time (Min) Figure 5. Remaining layer thickness V.S. time for SiON, and PR after Si hardmask etch PR (NEB 22) SiON layer layer Etch rate 600Å/min 182 Å/min 333Å/min Selectivity to PR - 0.29 0.73 Table 2. Etch rate and Selectivity for SiON and etch We compared the layer etch performance for both SiON and cases. In both SiON and cases the Si hardsmask layer was coated onto the layer. Both SiON and pattern transfers to the layer were processed using STS ICP etcher. A 45 sccm O2, 100W antenna power, 50W bias power plasma was applied to for 60 seconds for both cases. After complete of C- SOH layer etch we found from figure 6a and 6b the Si hardmask layer, for either SiON or case, was consumed only a very limited amount of material comparing to thickness. This indicated both SiON and material had excellent selectivity to. From figure 6a, 6b and table 3 we found SiON and had selectivity to of 40 and 8.5. The experimental results exhibited enough Si hardmask to selectivity for both SiON and cases. We also found that there was no obvious CD shift for either SiON or pattern transfer. This showed the good pattern transfer capability to the from either SiON or material. can be used at high aspect ratio (up to 6) application due to its low lateral etch characteristics and, as a result for example, a 200 nm thick coating basis, it can be easily used in the 50 nm pattern design. Proc. of SPIE Vol. 7140 71402Q-6

SiON 270nm 202nm 98nm Figure 6a. Cross-sectional SEM after etch of using SiON hardmask 270nm 207nm Figure 6b. Cross-sectional SEM after etch of using hardmask Remaining thickness Remaining thickness 3000 2000 1000 0 0 1 SiON Time (min) Fig 7. Remaining film thickness V.S. time for etch with SiON and hardmask Proc. of SPIE Vol. 7140 71402Q-7

SiON Etch rate 68Å / min 320Å / min 2700Å / min Selectivity to 40 8.5 - Table 3. Etch rate and Selectivity for SiON and pattern transfers to layer For both SiON and cases the layer acted as the hardmask for underlayer etch. We compared the etch results for both SiON and cases. layer pattern transfers to the underlayer were processed using ULVAC NE 550 ICP etcher for both cases. A 60 sccm CF4, 6 sccm O2, 100W antenna power, 200W bias power plasma was applied to for 90 seconds for both cases. We found from figure 8a for SiON case and figure 8b for case, the was partially consumed only and was still thoroughly protecting till etch end point. This indicated, for both cases, the layer is coated thickly enough. The shape of the remaining for SiON case and case looks somewhat different. In the SiON case the remaining is obviously sharper than what in case. This is due to the heritage from the Si hardmask (SiON got a round dome-shape head and got a rectangular-shape head). In the SiON case, the sharp remaining of this experiment got no problem but it will face the challenge for the thin application. In the case, on the other hand, the shape and amount of remaining performed very well. The selectivity to for both SiON and cases is about one. The sacrificed selectivity was due to the introduction of the O2 into the etching gas. We also found that there was no obvious CD shift for pattern transfers to underlayer showing good pattern transfer capability for both SiON and cases. 130nm Si 100nm 200nm Figure 8a. Cross-sectional SEM after etch of using mask for SiON case Proc. of SPIE Vol. 7140 71402Q-8

130nm 203nm Si Figure 8b. Cross-section SEM after etch of using mask for case After stripped the remaining using Ar plasma we found from figure 9a for SiON case and figure 9b for case, the patterns were successfully transferred to underlayer. All the lines were in a good shape and there was no obvious CD shift for both cases. So we confirmed, in both SiON and Si- SOH cases, the stacked layer combination can successfully transfer the patterns from the photoresist layer all the way to the working layer with a very good fidelity. Both cases showed good enough process capability. 127nm 103m 197nm Si Figure 9a. Cross-sectional SEM after etch of using mask for SiON case Proc. of SPIE Vol. 7140 71402Q-9

133nm 105n 195nm Si 900kz 600nm Figure 9b. Cross-section SEM after etch of using mask for case To verify the / combination can be used in nano scale applications, we targeted the 70 nm line / 200 nm space pattern to examine its results. The thickness for each layer of the stack was / / / PR = 1300Å / 2700Å / 1000Å / 3500Å which was same as in previous experiments. All other process parameters were all the same as in previous experiments. We examined the results for etch, etch, etch and stripping of the remained. From Figure 10, 11, 12 and 13, the pattern transfers from PR onto layer, from layer onto layer, from C- SOH layer onto layer and the stripping of remained all showed very good pattern transfer fidelity. This can be the proof that the PR / / structure can be used in 70 nm applications. rsaliii PR 68nm 202nm 100nm Figure 10. 90.Okx 70 nm line / 200 nm space etch result SOOnm Proc. of SPIE Vol. 7140 71402Q-10

PR 278nm 195nm 75nm Figure 11. 90.Okx boonn, 70 nm line / 200 nm space etch result Si 70nm 220nm 130nm Figure 12. 70 nm line / 200 nm space etch result Proc. of SPIE Vol. 7140 71402Q-11

130nm Si 200nm 70nm 9Ox 500mm Figure 13. 70 nm line / 200 nm space etch result after stripped the 3. CONCLUSION From the experimental results we found the PR / / system performed as well as the PR / SiON / system did. The layer even showed better selectivity to the photo resist layer than SiON did. This indicated the PR / / process is an economical yet comparable substitute for the ACL and SiON combination which is a promising but requiring high CoO and low throughput CVD process. Also experiment results showed the / combination can work for the 70 nm pattern structure applications.. 4. REFERENCE [1] Chang-Il Oh et al., Spin-on Organic Hardmask Materials in 70nm Devices, Proc. SPIE 6519, 65192R (2007). [2] Sang Kyun Kim et al., Silicon-Based Anti-Reflective Spin-On Hardmask Materials with Improved Storage Stability for 193 nm Lithography, Proc. SPIE 6519, 65190O (2007). [3] Sang Kyun Kim et al., Silicon-Based Anti-Reflective Spin-On Hardmask Materials for 45 nm pattern of immersion ArF Lithography, Proc. SPIE 6923, 69232Q (2008). [4] Chang-Il Oh et al., Novel Spin-on Organic Hardmask with High Plasma Etch Resistance, Proc. SPIE 6923, 69232V (2008). Proc. of SPIE Vol. 7140 71402Q-12