Via etching in BCB for HBT technology

Similar documents
A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

EE 143 CMOS Process Flow

ABSTRACT 1. INTRODUCTION

Self organization and properties of Black Silicon

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching

Thermal Nanoimprinting Basics

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Chapter 3 Silicon Device Fabrication Technology

Examples of dry etching and plasma deposition at Glasgow University

IC/MEMS Fabrication - Outline. Fabrication

RIE lag in diffractive optical element etching

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

UHF-ECR Plasma Etching System for Gate Electrode Processing

MICROCHIP MANUFACTURING by S. Wolf

FABRICATION OF GaAs DEVICES

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Molecular Beam Deposition of Low-Resistance Polycrystalline InAs

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN

CYCLOTENE* 3000 Series Advanced Electronic Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Study on the hydrogenated ZnO-based thin film transistors

UV15: For Fabrication of Polymer Optical Waveguides

Deep SiO 2 etching with Al and AlN masks for MEMS devices

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Micro/Nano Technology Center University of Louisville. Dry Etch Capabilities. NNCI Etch Workshop May 24-25, 2016

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES*

Plasma..TI'1eITI1 I.P.

Understanding. Brewer Science

Manufacturing Technologies for MEMS and SMART SENSORS

SILICON carbide (SiC) is one of the attractive wide band

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L.

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EECS130 Integrated Circuit Devices

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

CMOS Manufacturing process. Design rule set

Overview of Dual Damascene Cu/Low-k Interconnect

Atomic Layer Deposition(ALD)

HBr Etching of Silicon

Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies

Chapter 2 Manufacturing Process

Lect. 2: Basics of Si Technology

Physical Vapor Deposition (PVD) Zheng Yang

Lecture #18 Fabrication OUTLINE

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

INTEGRATED-CIRCUIT TECHNOLOGY

(12) United States Patent (10) Patent No.: US 6,461,974 B1

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Department of Electrical Engineering. Jungli, Taiwan

Czochralski Crystal Growth

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Shallow Etch Recipe Design using DOE for Silicon Waveguides

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

Advanced Polymers And Resists For Nanoimprint Lithography

Fabrication Technology, Part II

Hybrid BARC approaches for FEOL and BEOL integration

Self-aligned via and trench for metal contact in III-V semiconductor devices

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Review of CMOS Processing Technology

TSV Interposer Process Flow with IME 300mm Facilities

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Microwave Plasma Processing

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Low temperature deposition of thin passivation layers by plasma ALD

Effects of Radio Frequency Power and Sulfur Hexafluoride Flowrate on Etch Rate of Silicon Dioxide

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Isolation Technology. Dr. Lynn Fuller

Process Stability in Photo Mask Manufacturing

Make sure the exam paper has 9 pages total (including cover page)

Sub-100 nm silicon-nitride hard-mask for high aspect-ratio silicon fins

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Paradee Leerungnawarat. Department of Materials Science and Engineering

Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MEMS Surface Fabrication

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

How To Write A Flowchart

EXTREMELY HIGH SELECTIVITY ETCHING OF GaAs/AlGaAs IN INDUCTIVELY COUPLED PLASMAS

Transcription:

Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075, Fax: +49-731-505-3005, E-mail: hermann.stieglauer@ums-ulm.de Keywords: HBT, via, ICP etching, hard mask, etch lag, bowing effect ABSTRACT The high integration level associated with HBT- GaAs devices especially ones consisting of analog and digital components typically requires an additional interconnection level in order to achieve a planarization of the transistor mesa topologies. This inter-metallic layer avoids cracks in metals in upper metallization levels at high topography. In this study we developed and characterized the via patterning of (Benzocyclobutan) as an inter metallic layer for GaAs HBT applications. For such an interconnection system a high selectivity to the etch stop layers is required for the high aspect ratio vias. In addition the thicknesses are different due to the planarization. This work shows the capability of via etching with an aspect ratio up to 2 in the interconnection levels using a silicone oxide hard mask. The dry etch is performed in an ICP etcher using fluorine (SF6, CHF3, CF4) and oxygen chemistry. The dry etching consists of three etch steps. At the first step the silicone oxide is etched using a resist mask. Secondly the is structured and at the third step the remaining silicone oxide is removed. After etching no resist removal is necessary and in addition the surface is deoxidised by fluorine plasma. INDRODUCTION is compatible with low cost solutions for the process integration of inter- metallic dielectric or passivation layers. is spinned on the wafer using state of the art resist coaters. The itself is delivered as pre polymerised product (40-60%) that is coated on the wafer. After spinning, the is polymerised in a curing oven. The is linked up to 75 100 % in a cross linked 3D structure as depicted in Figure1. Figure1: Chemical structure of cured The crosslinked is then etched by a fluorine/oxygen plasma. The fluorine chemistry binds the silicon in the cross linked polymer and the oxygen oxidizes the polymers which build up volatile etch products. In this work the is used as an intermetallic layer. In order to achieve a good step coverage of the metallisation inside the via hole, the

taper angle of the via has to be perpendicular or positive. The critical dimension of the vias is 2 µm for this application. Resist profile profile RESIST VS SILICON OXIDE ETCH MASK In general a resist mask would be the first choice as it offers advantages in terms of cost for dry etching. From a technical point of view there exist arguments against the use of a resist mask for via etching in at CD of 2 µm. The CD loss is very high that is caused by poor sidewall polymerisation using a resist mask. As the etch selectivity of to resist is about 1 the resist thickness has to be very high. In this case we use a 6 µm resist thickness (definition of resist thickness explained later). Additionally there arises negative effects at openings when the aspect ratio (AR) is equal or greater than 0.75. These effects are etch lag in small vias caused by reduced via bottom and increased via sidewall reactions during dry chemical etch. This increase in sidewall reaction lead to a bowed via hole profile that depends on the taper angle of the resist profile. The resist profile is adjusted by the focus value of the lens to the top of the resist surface at the exposure process. Positive defocus value means point of focus plus greater distance from the lens to the resist surface and negative values vice versa. Figure 2 shows SEM cross sections of 4 µm vias with a variation of defocus values. As the etch selectivity is 1, the resist profile is transfered into the. Furthermore it can be seen that a perpendicular profile shows a strong bowed and negative tapered profile in the. Further characterisation of the etch depth of 4 µm via (AR about 0.75) compared to the openings at the 70 µm (AR about 0.05) wide structure of the saw line shows that the etch depth depends on the defocus value. That means if the resist profile becomes perpendicular (defocus value 0 µm) at AR of about 0.75 there exits an etch lag that causes a reduced etch depth of about 20% (Figure3) compared to the 70 µm openings. Further results show that with increasing AR, the etch lag increases. resist resist +3 µm defocus 0 µm defocus -3 µm defocus Figure 2: resist and profile depending on defocus value of exposure process Figure 3: etch depth depending on defocus value or slope angle of the resist opening The CD loss (CD etch CD resist ) of about 1.0 µm after etch on via bottom does not depend on the

resist profile. Of course, it is depending on the dry etch process parameters and the sidewall polymerisation that is influenced by the type of etch mask used (see characterisation etch process later on). In case of an oxide hard mask the CD loss is about 0.4 µm. In both cases a high CD loss exists when compared to a 2 µm via. The difference is that the lithography of a 2 µm via in a 6 µm thick resist is difficult. In order to compensate the CD loss of 1.0 µm the CD in the resist has to be 1.0 µm (in case of a 2 µm via) in a 6 µm thick resist. Compared to the oxide hard mask process it is easy to compensate a CD loss of 0.4 to 1.0 µm when necessary. The oxide is structured by an 800 nm thick resist mask by dry chemical etching. Furthermore the oxide hard mask process shows no bowed via profiles, no etch lag and the taper angle of the vias do not depend so strongly on the mask profile. These points lead to the motivation of the integration of the oxide hard mask process. PROCESS FLOW The process of realising the interconnection level using a hard mask process consists of six process steps. The flow chart below shows the process steps in detail: The shrink about 10% during curing compared to the thickness after coating. The following sections discuss the effects of focus on the topography, the dry etch process and the polymer removal. Finally results of the electrical contact resistance of metal 1 and 2 will be presented. TOPOGRAPHY The thickness after curing on a blanket wafer for this process is 1.5 µm. The HBT structures are about 3 µm at this level measured from the bottom up to the first metal layer above the emitter mesa. The height of the surface differs 1.2 µm measured from top of the at the saw line to the top of the near by the emitter contact. The step size reduces to 300 nm if there is 3.0 µm coated and at 4.5 µm coating the step size reduces to 200 nm. That means the most effective planarization is reached using a thickness between 3.0 to 4.5 µm. The poor planarization is not important for the device itself, but as side effect the parasitic capacitances are increasing between metal lines. However, reduced planarization impacts the process integration at the following lithography step. IML M1 M1 M 1 3 µm 1.2 µm basis emitter collector saw line Figure 4: Schematic of the HBT transistor after the via etch Measurements show that the resist thickness is about 60% of the coated resist thickness at the emitter contact using 1.5 µm. For 3 µm coated wafers the resist coverage at the emitter contact is about 85%. This poor planarization of the coating defines the target for the resist thickness. The thickness varies from 0.4 µm (emitter contact) to 3.0 µm (collector contact). The thickness at vias in the digital part is about 1.5 µm with a critical dimension of 2 µm..

DRY ETCH PROCESS AND POLYMER REMOVAL 50 nm SiO 2 The dry etch process is undertaken using an ICP System OMEGA supplied by Aviza. The tool works with two RF generators. One generator powers the coil around the chamber and the other supplies the wafer chuck with RF power. The etch process consists of 3 steps (see figure 5) with different fluorine gases, oxygen and argon. 3.15 µm 4 µm 3.15 µm Steps: 1 SiO2 etch 2 etch 3 SiO 2/Si 3N 4 etch CF4 [sccm] 10-25 CHF3 [sccm] 25-10 Ar [sccm] 15-15 O2 [sccm] - 30 - SF6 [sccm] - 5 - pressure [mtorr] 10 5 10 Figure 5: process steps and gases of dry etch process Etch rate and selectivity of silicon oxide to resist depend on the ratio of the CHF3 to CF 4 flow. By increasing the ratio of CHF 3 to CF 4 the resist etch rate decreases and the SiO 2 etch rate remains constant. For the first step the selectivity of SiO 2 to resist in our case is 1.3 that shows good results for the hard mask opening. At the second step the etch demands a high selectivity of to silicon oxide. As higher the selectivity as thinner the oxide hard mask can be used for structuring the. The SiO 2 etch rate depends on the fluorine content in the plasma. Above there is mentioned that the Si in the is bind by fluorine chemistry. But there is just needed few fluorine to react with the silicon in the. The remaining hydrocarbons are mainly etched by atomic oxygen. As O 2 is highly electro negative and due to its radical behaviour it is able to abstract very effectively hydrogen atoms out of hydrocarbons which generate radicals of hydrocarbons. These new radicals cause a mechanism of radicals generation and react to volatile low level molecules like CO, CO 2 and H 2 O. By reducing the SF 6 flow from 10 to 5 sccm the selectivity of to SiO 2 increases from 5 to 9. Figure 6 shows an opening at the saw line and at a 4 µm opening with the remaining hard mask of 50 nm on top of the after both steps. Figure 6: etch depth and profiles after dry etch step 1 and 2 At the third step the SiO 2 hard mask and the Si 3 N 4 inside the via is etched. The high anisotropy of this etch process is achieved by an active side wall passivation that is built up during etching. This sidewall passivation consists of compounds of metallic fluorides and oxides. Furthermore the surface after the third etch step shows nearly no oxide and fluorine elements in the Auger spectra (Figure 7). Side wall saw line, bottom saw line, surface C B A Side wall GaAs A B C Side wall saw line, bottom saw line, surface Figure 7: Auger spectra after the dry etch process

That shows that the is not oxidized and there exists no risk of cracks in the. The side wall polymerisation is removed in a spray tool using a polymer remover. Figure 8 show a clean sidewall after solving in the remover. Side wall polymers on PCMs with two different contact chain lengths, being measured. Two contact chains of 288 and 1344 contacts of the Metall 1 to the Metall 2 layer separated by the intermetallic layer. The CD of the via holes is 2 µm. As shown in the chart below, the average and range of the resistance rises when increasing the thickness spinned on the wafer. This effect can be reduced by improving the metallization process for high aspect ratio interconnects. GaAs GaAs Figure 8: side wall before(a) and after (b) polymer remover This side wall passivation enables a high anisotropic etch of vias with an aspect ratio up to 2 as shown in this work (Figure 9). Figure 10: via contact resistance CONCLUSION 1.2 µm 2.5 µm 5.0 µm a) b) Figure 9: Via in with aspect ratio 0.5 (a) and 2 (b) INTERCONNECT ON DEVICE 2.6 µm The process module has been electrically characterized on a contact chain in a PCM module by measurements of the electrical contact resistance. Two 4 inch wafers have been measured, with 20 This work has shown, it is possible to integrate an cost effective interconnect level by covering topographic steps of up to 3.0 µm with thicknesses of up to 4.5 µm which can be structured by an oxide hardmask process with aspect ratios of 2 with a CD of 2 µm. Additionally one lithography level can be avoided for the Si 3 N 4 opening before the deposition compared to the process module using a resist mask for via etching. ACRONYMS HBT: Heterojunction Bipolar Transistor ICP: Inductive Coupled Plasma CD: Critical Dimension