Characterization of Low Temperature Gate Dielectrics for Thin Film Transistors

Similar documents
Investigation of induced charge damage on selfaligned metal-gate MOS devices

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Amorphous and Polycrystalline Thin-Film Transistors

Study on the hydrogenated ZnO-based thin film transistors

TANOS Charge-Trapping Flash Memory Structures

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

行政院國家科學委員會補助專題研究計畫成果報告

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

CHARACTERIZATION OF HAFNIUM OXIDE FILM DEPOSITED USING ATOMIC LAYER DEPOSITION SYSTEM

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

Encapsulation of Indium-Gallium-Zinc Oxide Thin Film Transistors

Plasma-Enhanced Chemical Vapor Deposition

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Development of low temperature oxidation for crystalline silicon thin film transistor applications

Instructor: Dr. M. Razaghi. Silicon Oxidation

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices

Isolation Technology. Dr. Lynn Fuller

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Materials Characterization

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

THIN FILM DEVICES for LARGE AREA ELECTRONICS

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Development and modeling of a low temperature thin-film CMOS on glass

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors

Crystalline Silicon Technologies

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

Electrical Characterization of Amorphous Silicon Nitride Passivation Layers for Crystalline Silicon Solar Cells

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

Sensors & Transducers, Vol. 27, Special Issue, May 2014, pp

Thin-film transistors fabricated using sputter deposition of zno

ECE 541/ME 541 Microelectronic Fabrication Techniques

THERMAL OXIDATION - Chapter 6 Basic Concepts

1. Introduction. 2. Experiments. Paper

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

WHILE most active matrix liquid crystal displays (LCDs)

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

The charge trapping/emission processes in silicon nanocrystalline nonvolatile memory assisted by electric field and elevated temperatures

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

Fabrication and Analysis of Carbon Doped Hydrogenated Amorphous Silicon Thin Film Transistors

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

Summary and Scope for further study

Semiconductor Devices

Alternate Channel Materials for High Mobility CMOS

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

Radiation Tolerant Isolation Technology

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

MEMS Surface Fabrication

Chapter 3 Silicon Device Fabrication Technology

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

EE 330 Lecture 9. IC Fabrication Technology Part 2

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays

Chapter 2 Manufacturing Process

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Lecture 22: Integrated circuit fabrication

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Silicon Wafer Processing PAKAGING AND TEST

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

MRS Fall Meeting, Boston, USA, 28 November 2 December 2011

Problem 1 Lab Questions ( 20 points total)

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Complementary Metal Oxide Semiconductor (CMOS)

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

G.Pucker, Y.Jestin Advanced Photonics and Photovoltaics Group, Bruno Kessler Foundation, Via Sommarive 18, Povo (Trento) Italy

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application

Review Literature for Mosfet Devices Using High- K

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Investigation of Thermal Stress Degradation in Indium-Gallium-Zinc-Oxide TFTs

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Transcription:

I Mulfinger, G.R. 23 ~ Annual Microelectronic Engineering Conference, May 25 Characterization of Low Temperature Gate Dielectrics for Thin Film Transistors G. Robert Mulfinger Abstract The goal of this investigation was to ascertain a viable low temperature gate dielectric for an emerging TFT fabrication process at RIT. Various candidates were investigated to find the best solution for a low temperature gate dielectric. Materials studied include low temperature oxide (LTO) using low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) silicon nitride, and PECVD Tetra Ethyl Ortho Silicate (TEOS). Capacitors were fabricated with these materials, as well as a standard thermal oxide as a control. Wafers were examined both with and without anneals at 6 C in order to study bulk oxide and interface charge levels. Surface Charge Analysis (SCA) and C-V curves were generated in order to analyze and compare charge levels of the various treatment combinations. index Terms C-V Analysis, Low-temperature dielectric, interface charge. I. INTRODUCTION THE technologies advancement is important of for thin-film consumertransistor applications(tft) such as LCDs (liquid crystal displays). With new improvements in thin-film semiconductor materials, products will realize considerable improvements in device performance. However, the substrate material that TFTs are built on imposes significant challenges in processing techniques and device performance. Unlike traditional crystalline Si substrates, substrates for TFT applications have strict thermal limitations. Specifically, low temperature restrictions make it difficult to deposit a high quality gate dielectric. In comparison to a thermally grown oxide, gate dielectrics deposited at lower temperatures exhibit decreased uniformity and increased charge levels. High charge levels shift the threshold voltages of devices greatly altering their functionality and performance. Thus, it is important to minimize charge levels and obtain repeatable C-V curves before fabricating transistors. This work was completed in fulfillment of the capstone project for M ~croelectronic Engineering at the Rochester institute of Technology. It was first presented at the 23rd Annual Microelectronic Engineering Conference on May 1,25. G.R. Mulfinger is currently with the Microelectronic Engineering Department at the Rochester Institute of Technology. II. THEORY C-V analysis involves studying the relationships between capacitance and voltage. It is important to study these relationships since they are directly related to the functionality of the MOS transistor. In a MOS transistor, the gate (either poly silicon or metal) acts as a dielectric between an applied gate voltage and the region between the source and drain. This actually acts as a capacitor. The C-V curve of a MOS capacitor is directly dependant on the applied bias. Depending on the bias, the device can either be in accumulation mode, depletion mode, or inversion mode. These characteristics from the C-V curves directly relate to the threshold voltage in a MOS transistor. The C-V curve provides valuable information because threshold voltage is one of the key components in the functionality of a MOS transistor. Figure 1 shows the ideal C-V curve for a MOS capacitor on P type silicon. The three regions of operation, accumulation, depletion and inversion can be clearly differentiated. Capacitance Cox Vdtage I Accumulation > Depletion > ~( Inversion > Figure 1: Ideal C-V curve for MOS capacitor on p-type silicon: In accumulation a negative bias is applied to the metal. This negative bias repels electrons to the oxide metal interface resulting in a negative charge in this region. Since the oxide acts as an insulator or dielectric, ideally no charge moves within it. As a result, holes accumulate at the surface of the semiconductor at the silicon/oxide interface.

Mulfinger. G.R. 23td Annual Microelectronic Engineering Conference, May 25 48 In the depletion portion of the C-v characteristic, a positive bias is applied to the gate (metal). Since the oxide acts as a dielectric, inversion results in a negative charge that will accumulate at the surface of the silicon at the silicon/oxide interface. Even though the substrate is p-type, there are still excess electrons available to accumulate at the surface. While increasing the positive bias on the gate, more electrons are attracted to the surface of the silicon at the silicon/oxide interface. Inversion occurs when the minority carrier concentration exceeds that of the majority carrier concentration (for p-type silicon, electrons are minority carriers and holes are majority carriers.) For n-type MOS capacitors, the physics remain the same except the gate biases and charge biases are reversed. The ideal C-v curve for an N-type MOS capacitor is shown in figure 2: Cox 4 Capacitance c-v analysis tool. The SCA uses light pulses and induced charge to generate fluctuations in AC surface potential and a modulated depletion width at the silicon/oxide interface. When these values are measured, values such as oxide charge, surface concentration and density of interface traps can be determined. The c-v analysis tool plots capacitance as a function of voltage. It can then determine vi, Vfb and calculate charge levels and surface concentration using the following equations at flat band: V/b = øn,s cox, Q55 = qn3~ = qn, + qn,, (yi3) HI. EXPERIMENT RESULTS The experiment began with the comparison of some of the possible low temperature gate dielectrics that can be deposited in the SMFL at RIT. These included PECVD oxide, PECVD nitride, LTO and a standard thermal oxide as a reference. An overlay of these C-v curves can be seen in figure 3 below. CV Curves of Thermal Oxide, TEOS, Nitride and LTO 4 2.OOE-9 1.5E-9 Thermal Odde N Figure 2: silicon: Inversion Accumulation - depletion < Ideal c-v curve for MOS capacitor on N-type -15-1 -5 5 1.OOE-9 5.OOE-1.OOE+ S13N4 ~ LTO Since oxides deposited at low temperatures generally have more positive charge, the C-V curve is usually shifted to the left and the threshold voltage is decreased. This can be seen in the following equation: Vt qnss (1) Vt ideal + ~6ms ox In the expression, the quantity Nss is a lumped sum of oxide trap charge, fixed charge, mobile charge and interface trap charge. This value is the main response in the investigation used to compare the different oxide charge levels. Two ways to obtain this charge value include using the SemiTest SCA (surface charge analyzer) or the Agilent 4182 Figure 3: Preliminary c-v curves of various materials As seen in the above plot, the thermal oxide shifted the least, meaning it has the least amount of positive net charge. The nitride, LTO and PECVD oxide follow in order of their amount of positive charge from least to greatest. It can also be noted that the oxide capacitance of the nitride is much higher than the other materials. This occurred because the thickness of the nitride was smaller and the relative permittivity of the nitride was larger than that of the other materials. (All capacitors tested were made with Al, had an area of.15cm2 and were not annealed or sintered. Other than the deposition of the dielectric, all wafers were processed together under the same conditions for consistency.) A quantitative comparison can be seen below in table 1. wafer I Xox I Cox(pF) I Vfb(V) I Vt(V) Nss( cm~2

Mulfinger, G.R. 23~ Annual Microelectronic Engineering Conference, May 25 49 (A) Thermal 52 469 -.61.29 3.96E+1 LTO 55 711-4.3-3.5 l.47e+12 TEOS 477 647-7.31-6.54 3.3E+12 Nitride 315 177-2.96-2.45 l.63e+12 Table I: C-V measured values of initial data In analyzing this data, it can be noted that the charge levels in the thermal oxide are approximately two orders of magnitude lower than the low temperature oxides. The LTO, TEOS and nitride were all in the same order of magnitude and resulted in fairly close values. After the initial comparison, DOE s were done in order to look more closely at nitride and TEOS. A short screening experiment was first set up to investigate the different existing programs for nitride deposition in the Applied Materials P5 PECVD tool. Table 2 shows the nitride screening experiment that was done. recipe Anneal dep time(s) Tox (A) Cox(pF) Vfb(V) Vt(V) Nss(Icm 2) Si3N4 Yes 4 32 591-1.18 -.776 1.19E+11 Si3N4 No 4 315 177-2.96-2.45 1.63E -12 LowN Yes 7.5 36 12 -.383.55 1.33E 11 LowN No 7.5 314 23-1.46-1.1 6.19E+11 LowH Yes 14 411 116-5.32-4.76 22E+12 Low H No 14 396 25-4.87-4.27 3 49E+12 Table 2: Nitride Recipe Comparison The Si3N4 recipe is a standard stoichiometric recipe for silicon nitride. The Low-N recipe is a silicon rich nitride which is generally used for low stress applications. (Since gate oxides are very thin, stress is not a concern typically taken into consideration.) Finally, the Low-H recipe is a nitrogen rich nitride. Historically TFT s have used nitrogen rich nitrides because of their electrical characteristics including lower leakage current and conductance values. These nitrides were used as gate dielectrics both with and without a 6 C anneal inh2/n2. From the C-V data obtained, it was determined that the stoichiometric silicon nitride recipe actually resulted in the lowest charge levels. The anneals appeared to decrease the charge level by approximately one order of magnitude for each recipe. Despite having nearly twice the charge as the other recipes, the nitrogen rich recipe appeared to have the best electrical characteristics according to its index of refraction. Literature states that optimum electrical characteristics occur when the index of refraction of the nitride is between 1.85 and 2.. The Rudolph ellipsometer was used to measure an index of refraction of 1.99 on the two nitrogen rich nitride wafers. The others wafers ranged from 2.25 to 2.5. Further investigation would be needed to better characterize the films and decrease charge. This investigation was not taken up due to non-uniformity problems and other laboratory concerns. Rather than furthering the initial investigation, a more thorough investigation in the PECVD oxide was conducted. The initial investigation of the PECVD oxide was completed by looking at the standard program (A6-Karl NLS). This consisted of three main steps: ~StèW~i stabiltze ~~ ~...... l~f power~ -TEOS flows at4sccm and O2~flowsat 85~sccm unti!. stable :,.. -.:.~,.:~c -TE@S flo~,s at 4sccm and @2 -~ flows at 285 sccm ~- ~ ~ ~ - L 1~- 2~at~285 sccm~, s unti for~i~osv~ ~ ~ ~ ~Iift~o Uj~eacted~1jEOS rom ttie~a ~ ~r ~ ~ These three process steps were done in different orders and with different gas flows to determine if the resulting films would have varying charge levels. The experimental design can be seen in the following table: Wafer I 3s stab. with TEOS and 2 flowin, de, lift 2 Os stab. de., lift 3 3s stab. In 2 onl, de., lift 4 stab. In 2, 3s stab. In TEOS and 2, de, lift 5 3s stab. In TEOS and 2, lift, de, lift 6 Lift, 3s stab. In 2, de., lift (7) Lift recipe, stab in 2, stab. In TEOS and 2, de,lift [(~)3s stab. with TEOS and 2 flowing, dep, lift Table 3: PECVD oxide screening experimental setup Capacitors were then made with each treatment combination and C-V characteristics were analyzed to compare charge levels. Results can be found in table 4. Tox Wafer (A) Cox(pF) Vfb(V) Vt(V) Nss(Icm 2) 1 477 577-9.73-9.2 3.3E+12

Mulfinger, G.R. 23rd Annual Microelectronic Engineering Conference, May 25 5 49-8.35 1.61 E+1 2 Figure 5: C-V curves for three treatment combinations 2.46E+12 2.46E+12 1.8E+12 1.47E-f 12 1.74E+12 2.4E+12 Table 4: TEOS C-V data with alterations to the std. Recipe The data obtained shows that the sinter was the most significant factor in reducing positive charge from the dielectric. The anneal also decreased charge levels from the baseline data (wafers neither annealed or sintered). C-V curves collected on the wafers that were both annealed and sintered can be seen below in figure 6. From the C-V data, wafer 5 showed the least amount of charge. This treatment combination used a fixed 3 second stabilization in TEOS and 2, followed by the lift recipe, the deposition, and then the lift recipe. This resulted in a significant decrease in charge compared to the standard, unaltered, TEOS recipe. This difference is best observed by looking at an overlay of the IwO C-V curves in figure 4. U C ( C.) -2-1 1 2 Figure 4: TEOS Screening Charge reduction 8. DOE-b 7.OOE-1 6.OOE-b 5.OOE-b 4.OOE-1 3.OOE-1 2.OOE-1 1.OOE-bO.OOE+ After completing the screening experiment and altering the standard PECVD oxide (TEOS) recipe, a subsequent DOE was conducted to further investigate charge reduction by means of a 6 C anneal in H21N2 and 45 C sinter in H21N2. PECVD oxide with the altered recipe was made into capacitors with no anneal and no sinter, anneal only, sinter only and anneal with sinter with replication. The following figure shows three of the four treatment combinations C-v curves.!±; ~ TEOS screening CV Improvement -15 1 5 Wafer 5 (altered recipe) Wafer 1 (standard recipe) TEOS CV Curves ~1 188-Ct i eoe.oe A4 Anneal Only 1 4E-O8 A5 Anneal Only 1 2E-9 1-9 A6 Sinter Only 8.1 6 ace-to A7 Sinter Only 4 toe-ic 2E-lO A8 No Anneal or + Sirtler A9 No Anneal or Sinter 1.8cE-~ 1.6cE-t~ ~ 1.4tE-~ 12)E-c~ 8.OcE-1 a ~ 4.OcE-1 2XXE-1 AX~E+tXJ Figure 6: TEOS CV Curves ci A1-A3 (s6me~ and Sirter) -6-4 -2 2 4 6 A brief analysis of figure 6 suggests that the three wafers in the sinter anneal treatment combination are n-type since all curves were swept the same way.. Wafers were taken into the lab and placed on a hot probe to verify that they were n-type. The quick test showed that the three wafers were n-type and the other wafers were p-type. (All wafers were taken out of a sealed box of p-type wafers. Serial numbers of each wafer were written down and each wafer was scribed directly out of the box to ensure they weren t confused with any other wafers throughout the process.) The mix up confounded the experiment due to the fact that the entire treatment combination was done on a different type of wafer. However the data still shows that with the sinter and anneal, C-v data is highly repeatable and shows a promising future for PMOS on low temperature PECVD oxide. Quantitative comparisons of the data can be seen in table 5. Toe Toe Wafer (optical) (electrical) Cox(pF) Vfb(V) Vt(V) Nss(lcm~2) (A) (A) Al: Anneal and Slitter 357 329 158 -.365 -.538.4.84E+l A2: Anneal and Slnter 341 333 166 -.216 -.744-3.55 +lq A3: Anneal and Slnte, 357 345 15 -.248 -.776-1.596+1 ~ôn* - 831 ~A~e&di~1y ~. 684 -..767 ~. 4.Thi~oE~ti~ SIit~nly ~ ~382 49 16..81-911 1 5~59E49 Al ~lnter Onl.~36~ie ~64~ 112 ~ 869-169 Z696+1 ~ ~ ~ ~4o Table 5: TEOS data.1.~ ~tj~ :,. ~ ~~ ~-.- ~ ~ The first two columns show a comparison of optical thickness measurements and electrically measured thickness. The optically measured thickness was measured with the --V 3~._~ ~&;~.~ ~ ~- - --V,,,t~--,,~. V. -V 1,- V_~~_,~.; ~ C-V curves of sintered/annealed wafers

Mulfinger, G.R. 23 ~ Annual Microelectronic Engineering Conference, May 25 51 Spectramap. The electrically measured thickness was measured using the Cox equation below: LA Cox= (2) Tox The area was entered in to the tool for each measurement, and the permittivity was assumed to be equal to a thermally grown oxide (3.9). Most of the values were in close range, showing that the permittivity was comparable to that of a thermally grown oxide. In certain instances the electrically calculated oxide thickness was much further off. This was most likely due to leakage current in the Cox measurement. in these instances, the Cox value appeared lower than it should have been. Also, when the measurements were taken, the conductance appeared to by higher suggesting that there was some leakage current through the oxide. As previously noted, the sinter had the most significant impact on charge reduction in the oxide. In the IC fabrication process sintering is known to reduce interface trap charge. This suggests that the majority of the charge in the PECVD oxide is most likely due to interface traps caused by dangling bonds at the silicon/oxide interface. The remaining charges could be characterized as mobile or fixed and could be quantified using a temperature bias stress test in future work. A comparison of SCA and C-V data was attempted in some of the treatment combinations. Unfortunately the SCA tool failed to measure most of the wafers in the experiment. Once the charge level reached a certain point in the oxide, the SCA could not induce enough charge to obtain accurate measurements. For this reason only data on annealed wafers could be obtained. SCA data wafer Nsc_inv Qoxinv Dito_inv Al: Anneal pre-sinter 6.2E+13 3.66E+11 3.98E+l1 A3: Anneal pre-sinter 2.93E+13 l.5e+1 l.76e+12 A4: Anneal Only 6.2E+13 1.99E+1l 2.76E+11 A5: Anneal Only 7.41E+13 l.92e+1l 2.77E+1l Table 6: SCA data for annealed wafers measurement techniques, the most accurate was the C-V analysis. The C-V data is not only considered to be a more direct measurement, but results in more meaningful data because it is obtained after the fabrication process. The SCA data is obtained before metal deposition and sinter. Subsequent process steps could alter the behavior of the film after the SCA measurement. IV. CONCLUSION Capacitors were fabricated using PECVD nitride, PECVD oxide, LPCVD LTO and thermal oxide dielectrics for charge comparison. The experimental design showed an improved TEOS deposition recipe for lower charge levels. Postdeposition annealing and sintering resulted in reduced charge levels and lower leakage. Sintering showed the highest significance in reducing interface charge. Further analysis is required for LTO and nitride films. ACKNOWLEDGMENT The author would like to thank Dr. Sean Rommel, Dr. Karl Hirschman, Dr. Santosh Kurinec and Dr. Raisanen for their support and guidance throughout the work. Additional thanks go to Rob Saxer, Rob Manley, Dan Jaeger, Reinaldo Vega, Eric Woodard, Pat Warner, Phu Do and the entire SMFL staff for all of their contributions. REFERENCES 11] hnp://www.cs.ndsu.nodak.edu/~-.revie/amlcd/index.htmi#lntro [2] Zhiguo Meng, Mingxiang Wang, and Man Wong.Yamazaki, High Performance Low Temperature Metal-Induced Unilaterally Crystallized PolycTystalline Silicon Thin Film Transistors for System-on-Panel Applications, IEEE Trans. Electron Devices, vol. 47, pp 44-49, Feb. 2. [3) G.L.avareda,C.Nunes de Carvalho,A.Amaral,E.Fortunato,A.R.Ramos,M.F.da Silva, Dependence of TFT performance on the dielectric characteristics, G. Lavareda et al. / Thin Solid Films 427 (23) 71 76 (available at www.sciencedirect.com) [4] Yue Kuo,*. IBM T. i. Watson Research Center, Plasma enhanced chemical vapor deposited silicon nitride as a gate dielectric film for amorphous silicon thin film transistors a critical review, Vacuum/volume 51 number4 pages 741to 745 1998 CV data wafer Nsc (icm 2) Nss Al: Anneal and Sinter 7.4E+13-3.79E+lO A3: Anneal and Sinter l.53e+14-1.9e+lo A4: Anneal Only 4.4E+14 4.56E+ll A5: Anneal Only 4.69E+14 4.75E+ll Table 7: C-V data Some of the comparisons between tables 6 and 7 are reasonably close. Surface concentration of Al and charge levels of the 4 wafers are on the same order of magnitude. The Density of interface traps is also very high on the SCA data. This is in agreement with the assumption that the majority of the oxide charge was from interface trap charges. Of the two