Integration Issues with Cu CMP

Similar documents
CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Notable Trends in CMP: Past, Present and Future

Overview of Dual Damascene Cu/Low-k Interconnect

The History & Future of

SLURRY FORMULATION OPTIONS

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Evaluation of Copper CMP Process Characterization Wafers

ECE321 Electronics I

1.1 Background Cu Dual Damascene Process and Cu-CMP

Copper Interconnect Technology

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

SKW Wafer Product List

Hybrid BARC approaches for FEOL and BEOL integration

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

IC Fabrication Technology Part III Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

Czochralski Crystal Growth

Post-CMP Cleaning: Interaction between Particles and Surfaces

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

2009 Market Slurries and Particles in CMP & a Bit Beyond

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

Advanced STI CMP Solutions for New Device Technologies

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

Advanced Metrology for Copper/Low-k Interconnects

Understanding and Reducing Copper Defects

Test Patterns for Chemical Mechanical Polish Characterization

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

AVS CMP Users Group Meeting

New Applications of Chemical Mechanical Planarization

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Exam 1 Friday Sept 22

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Cu/low κ. Voids, Pits, and Copper

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Semiconductor Technology

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Evolution and Revolution of Cerium Oxide Slurries in CMP

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

4th Annual SFR Workshop, Nov. 14, 2001

Chemical Mechanical Planarization

Alternatives to Aluminium Metallization

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

Optimized CMP of ULK Dielectrics

CMP Scratches; Their Detection and Analysis on Root Causes

EE 143 CMOS Process Flow

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

TSV Interposer Process Flow with IME 300mm Facilities

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

New Materials as an enabler for Advanced Chip Manufacturing

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

NCAVS CMPUG Meeting July 16, 2009

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Electromigration Improvement for Advanced Technology Nodes

Interconnects OUTLINE

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

Copyright Fujimi Corporation 2013

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Modeling of Local Oxidation Processes

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Fast Films. New insulators will save us from the dirty little secret that threatens Moore s Law By Alan S. Brown SEMICONDUCTORS

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS

CHALLENGES IN IMPLEMENTATION OF LOW-K DIELECTRICS IN ADVANCED ULSI INTERCONNECTS

CMP Applications and Issues Part 3

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

CMP MARKETS & VALUE CHAIN PERSPECTIVES

Interconnect Systems: Challenges for Nanoelectronics Interconnect Systems: Challenges for Nanoelectronics

Atomic Layer Deposition(ALD)

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

Effect of temperature on copper chemical mechanical planarization

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Transcription:

Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003

Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity to Defects Summary

Copper Interconnect Integration Copper interconnect has two structures per level Line Via Damascene process fills trench and then polishes away overburden Dual damascene patterns and etches both structures before fill and CMP This eliminates one fill and one CMP step

Cu Damascene Architecture Cu M2 Hard Mask Via Liner (Barrier) Dual Damascene Cu M1 ILD Single Damascene Copper Damascene Architecture promises significant advantages in both performance and manufacturability ILD material can be different for different levels, and also for via and metal levels

Copper CMP Issues Copper interconnect is used up to 10 times in back end integration Each interconnect level built upon the previous level Low K dielectrics are generally fragile Porous Low K dielectrics are most sensitive These materials evolve generation to generation Very low final topography needed for multi-level structures Extremely low defect levels required to avoid shorts, opens

Types of Low-k Materials CVD Organosilicate Glass (OSG) Supplier Applied Materials Novellus Systems Trikon Technologies ASM Dow Corning Name Black Diamond TM CORAL TM Flowfill TM (nonporous) ORION TM (porous) Aurora SiCOH k value 3.1 2.4 2.85 2.2 2.8 2.2 <3.0 2.7 Spin-On Dielectric (SOD) Supplier Shipley Dow Chemical JSR Honeywell EM Name Zirkon TM (porous) SiLK TM (non-porous) p-silk TM (porous) LKD-5109 Porous Nanoglass (porous) k value 2.25 2.65 <2.4 2.2 2.2 1.9 Reference k values: TEOS (~ 4.5), FSG (~ 3.8)

Copper/Low-K Interconnect Barrier Cu Bulkfill (EP) Cu Seed HM #1 HM #2 Trench Low-k Trench / Etch Stop Via Low-k Etch S top Cap Copper/ Low-K Interconnect Level Integration Approach Trench Low-K May be Different From Via Low-K Hard Masks May or May Not Be Used

Examples of Dual Damascene Low-k Architectures Integration Scheme Low-k (90nm) LK/ULK (65nm) No Cap / Hardmask Barrier Trench Cu Bulkfill or Etch (EP) Stop Cu Seed Trench Low-k Via Low-k Trench / Etch Stop BD Coral N/A Cap Etch Stop Single Top Hardmask HM #1: SiO 2, a-sich, Si x N y, SiON, SiOC Barrier Trench Low-k Via Low-k Cu Bulkfill (EP) Cu Seed HM #1 Trench / Etch Stop Coral BD Barrier Trench Low-k Via Low-k Cu Bulkfill (EP) Cu Seed HM #1 Trench / Etch Stop LKD 5109 Cap Etch Stop Cap Etch Stop Dual-Top (Bilayer) Hardmask HM #1 / HM #2: SiO 2 / a-sic, Si 3 N 4 / SiO 2 Cu Bulkfill (EP) Cu Seed Barrier HM #1 HM #2 Trench Low-k Trench / Etch S top Via Low-k Coral SiLK BD Cu B ulkfill (EP) Cu Seed Barrier HM #1 HM #2 Trench Low-k Trench / Etch Stop Via Low-k p-silk Orion Zirkon JSR Etch Stop Cap Etch Stop Cap

Definitions for Cu CMP Total Cu Dep Dielectric Trench Depth a b Barrier Cu Thinning Field Oxide Loss Cu Dishing Erosion Cu Recess Cu Min.thickness spec Cu Thinning = Cu Dishing + Field Oxide Loss Cu Thinning = Erosion + Cu Recess + Field Oxide Loss

Cu CMP Strategy Because of large amount of bulk copper to be removed, and demanding final topography and defect requirements CMP step divided into multiple sub-steps Different steps carried out on different platens At barrier removal step, two approaches are being used Selective, with high Ta:Cu, Ta:Dielectric polish rate ratios Non-selective, with low, but controlled polish rate ratios Multi-step approach is universally used Materials, features determine specific CMP parameters

Copper Deposition Variables Chemistry Additives Control Filling Process of Narrow Features Electrical voltage waveforms Forward and Reverse Currents Strongly Affect Final Cu Topography Pattern dimensions, densities also determine topography to be polished

Topography before CMP Multi-step CMP Approach Topography after Cu planarization CMP

Multi-step CMP Approach cont. Topography after Cu clearing CMP step Final topography after barrier CMP step

Selective vs. Non-selective Selective approach does not remove surrounding dielectric, maintains copper thickness in trench In general, no topography correction Non-selective removes some dielectric, also some copper from trench Better topography control achieved Tradeoff is basically copper thickness vs. topography control

Barrier Low-K Integration Requirements Many potential integration schemes with different objectives will require different barrier slurry selectivities Capping Stack Integration requirement Comment Uncapped CDO/TaN/Cu 1. Polish CDO Planarize CDO Uncapped CDO/TaN/Cu 2. Stop on CDO No planarization or topography correction Single Cap CDO/Cap/TaN/Cu 3. Stop on Cap No planarization or topo correction Single Cap CDO/Cap/TaN/Cu 4. Remove Cap and polish CDO - Planarize CDO 5. Thin/Planarize Cap - Planarize Cap Dual Cap CDO/Cap 2/Cap 1/TaN/Cu 6. Remove Caps & polish CDO 7. Remove top Cap 1 and Thin Bottom Cap 2 Planarize CDO Dual Cap CDO/Cap 2/Cap 1/TaN/Cu 8. Remove top Cap 1 and Stop on bottom Cap 2 No planarization or topography correction Dual Cap CDO/Cap 2/Cap 1/TaN/Cu 9. Remove top Cap 1, Remove bottom Cap, Stop on CDO No planarization or topography correction

Selective vs. Non-selective Initially, both approaches were intensively developed Currently, non-selective is the preferred approach Topography control has become more critical than maintaining copper thickness in trench

Non-selective Issues There is, as yet, no industry standard approach Different integration approaches used Different low-k dielectrics used Different cap and mask layers used These problems are not likely to diminish in near future, with new and better low-k materials being introduced Each material has different polish characteristics Some low-k materials, especially porous ones, are extremely fragile and require low pressure, near 1 psi, and special slurry abrasives and pads

Industry Solution for Non-selective Approach Develop family of variable, tunable, second-step slurries Slurry formulations can be adjusted to minimize topography for each integration/materials approach This approach is becoming widely adopted

Non-selective TEOS/SiCN RR Control Process: 1.5 psi, 93/87 rpm (PS/CS), Politex pad, AMAT Mirra, Slurry: SSA 900 1600 800 TaN 1400 TEOS and SiCN RR (A/min) 700 600 500 400 300 200 SiCN TEOS TEOS SiCN Cu TaN 1200 1000 800 600 400 TaN and Cu RR (A/min) 100 0 0 0.025 0.075 0.125 0.25 0.5 1 Normalized chemical additive conc. Cu 200 0

Topography Both dishing and erosion are very important to minimize for good topography control In non-selective approach, erosion is often the more difficult problem to address

Erosion at high-density lines Problem Erosion Erosion (after platen 2) (after platen 3)

Erosion Profile Reduction High Selectivity Cu:Ta Reactive Liquid Erosion Profiles Very little dielectric erosion with Reactive Liquid Higher dielectric erosion with abrasive-containing slurry

Defects Will Always Be a Key Issue With new materials and more aggressive integration approaches, defect reduction will always become more demanding New defect modes will likely arise from new integration approaches Yield limitations Reliability limitations

Scratches are CMP Process Dependent Conventional slurry 1 Conventional slurry 2 SSA Slurry V-HORG structure polished by SSA slurry

Summary Integration of Copper-Low K interconnect creates a demanding list of requirements Some have little impact on CMP CMP development efforts are focused on the rest Tunable slurry approach produced needed flexibility for large number of specific integration, materials approaches Current persistent Issues Topography is major current problem Much to be gained with further improvements Tightening integration requirements will continue to drive better processes

Summary cont. Defects are largest current and, likely, future problem Materials (Cu, low-k dielectrics) are soft, easily scratched Hydrophobic low-k materials hard to clean Overall levels must be consistently reduced Less mechanical stress CMP will be needed to deal with new fragile materials May require substantial change in approach

Acknowledgements John Quanci Todd Buley