Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Similar documents
Hybrid BARC approaches for FEOL and BEOL integration

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Advanced developer-soluble gap-fill materials and applications

A novel 248-nm wet-developable BARC for trench applications

Effects of carbon/hardmask interactions on hardmask performance

Photoresist-induced development behavior in DBARCs

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Photolithography I ( Part 2 )

Improving material-specific dispense processes for low-defect coatings

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Understanding. Brewer Science

Technical Data Sheet Technisches Datenblatt

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

Development of 193-nm wet BARCs for implant applications

Photolithography Process Technology

UV15: For Fabrication of Polymer Optical Waveguides

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

High-resolution defect metrology for silicon BARC analysis

Introduction to Nanoscience and Nanotechnology

Hybrid high refractive index polymer coatings

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Pattern Dependent Satellite Defects in Via Lithography

Advanced Polymers And Resists For Nanoimprint Lithography

Introduction to Lithography

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Via etching in BCB for HBT technology

AR2 and AR3 DUV ANTI-REFLECTANTS

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

Processing guidelines

Thermal Nanoimprinting Basics

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

Supporting Information

ise J. A. Woollam Ellipsometry Solutions

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

P4000 Thick Film Photoresist

Major Fabrication Steps in MOS Process Flow

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

ACRYL-FUNCTIONAL SURFACE ADDITIVES FOR RADIATION CURABLE COATINGS

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

micro resist technology

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

SUPPLEMENTARY INFORMATION

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Schematic creation of MOS field effect transistor.

2005 ANNUAL REPORT 2005 ANNUAL REPORT

Metal reduction at point-of-use filtration

Ultra High Barrier Coatings by PECVD

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Lithography options for the 32nm half pitch node. imec

Complexity of IC Metallization. Early 21 st Century IC Technology

ALD Film Characterization Rachel Brown 5/13/14

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

DuPont MX5000 Series

Solvent pre-wetting as an effective start-up method for point-of-use filter

RIE lag in diffractive optical element etching

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

In-situ Metrology for Deep Ultraviolet Lithography Process Control

Chapter 3 CMOS processing technology

micro resist technology

Nano-imprinting Lithography Technology І

EUV Transmission Lens Design and Manufacturing Method

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

Lithography Tool Package

Fabrication and Layout

THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE

Integrated Circuits & Systems

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Microfabrication of Integrated Circuits

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Microelectronic Device Instructional Laboratory. Table of Contents

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Key Technologies for Next Generation EUV Lithography

UHF-ECR Plasma Etching System for Gate Electrode Processing

Filtration on block copolymer solution used in directed self assembly lithography

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

Microbridge reduction in negative tone imaging at photoresist pointof-use

Transcription:

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett, and Cheryl Nesbit Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401 USA ABSTRACT It is common knowledge that the semiconductor industry continues to shrink the features contained in integrated circuits to increase speed and density. Each time the critical dimension (CD) shrinks, new challenges arise to impede the progress to attain smaller feature sizes, and control over surface reflectivity becomes even more important. Single-layer bottom anti-reflective coatings (BARCs) have been used in photolithography processes for years to reduce substrate reflectance, thus reducing or eliminating CD swing, reflective notching, and standing waves. Continued use of this solution is highly advantageous because it is well-known and cost-effective. This paper will describe a cutting-edge BARC system that has tailorable optical constants designed specifically to greatly improve immersion lithography process latitude. This BARC system can be easily modified to make formulations that match many different substrates that are being used in new devices, including highly absorbing substrates (nitrides), reflective substrates (oxide), metal layers, and hardmasks. The optimum optical parameters for this BARC system can be easily achieved through simulations. This paper will exhibit the correlation between optical simulations and lithography results. Keywords: bottom anti-reflective coatings (BARCs), foot exposure (FE), immersion lithography 1. INTRODUCTION Small feature size lithography with high-na exposure tools requires sophisticated reflection control, especially now as the industry extends the limits of 193-nm optical lithography. Continuing to shrink feature size without reducing exposure wavelength has put immense pressure on material and optical performance. Controlling reflectivity from substrates under hyper-na exposure schemes has proved to be more difficult. 1,2 Moreover, the introduction of new substrate materials further complicates general reflectivity control schemes, leading to many possible optical solutions. Complex simulations are required to fully understand the requirements of the anti-reflective layers; simply targeting low reflectivity has been ineffective in some situations. We have found that optical control is needed not only for low substrate reflectivity but also for the vertical UV distribution, which is closely correlated to lithography performance, CD process window, and line profile. Increased UV intensity at the base of a line profile helps to eliminate footing and scumming. Therefore, to enable good lithography, the anti-reflective layer should provide both low reflectivity and optimum UV distribution. Brewer Science has developed an optical simulation tool (OptiStack simulation software) to characterize the UV distribution in the vertical dimension. This simulation defines a critical parameter, foot exposure (FE). 3-5 FE is the relative UV intensity at the foot of a line profile compared to the average intensity along the vertical dimension. Experimental results indicate that FE is much more correlated to lithography performance than substrate reflectivity alone. 3-5 High-FE lithography results in straight line profiles with less footing and scumming and with much wider CD processing window. 3-5 In conventional stack design, there is a wide range of n and k choices for R < 0.5%. With the new optical constraint of maximum FE, there is very little tolerance for variation in n and k values. Figure 1 shows the contour of R% and FE with respect to the variation of n and k values (BARC thickness fixed at 30 nm). In the figures, the gray outline indicates the substrate reflection of 0.5%, and the black outline indicates the best combination of reflectivity and FE. To maintain the strict reflectivity control requirements, anti-reflective coatings need highly controlled optical parameters. Such delicate control of optical parameters is difficult to maintain through traditional techniques. This M. Swope, V. Krishnamurthy, Z. Zhu, D. Sullivan, S. Simmons, C. Cox, R. Bennett, and C. Nesbit, Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography, Proceedings of SPIE, vol. 7972, 2011, in press. 2011 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy of this preprint may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Figure 1. Reflectivity (R%) and foot exposure (FE) contours of 30-nm BARC coated on top of a SiON film with n = 1.86 and k = 0.74. The thick outline is the contour within which R < 0.5%, and the even thicker black circle in the right figure indicates the optimum n and k values of the BARC. paper demonstrates a bottom anti-reflective coating (BARC) system designed to have the ability to easily tailor the optical constants. The ability to target optics precisely provides the best means to control reflectivity from a variety of substrates. 2. MATERIAL FORMULATION AND CHARACTERIZATION 2.1 Formulation/Materials A new, blended BARC system was made using three resins (hereafter referred to as A, B, and C) that are compatible with each other but have differing optical properties. A and B are chromophores with high k values (~ 0.4 and 0.5, respectively) but differing n values (~ 2.00 and 1.68, respectively). Component C is a polymer with an n value similar to B (1.68), but a k value of ~ 0.03. These components were formulated with a crosslinker and catalyst in a solution of propylene glycol methyl ether (PGME) and propylene glycol methyl ether acetate (PGMEA). Formulations were spin coated onto silicon wafers at 1500 rpm for 60 seconds and baked on a hot plate at 205 C for 60 seconds. 2.2 Optical properties and reflectivity Optical constants (n and k) were measured using a J.A. Woollam Co. VUV-VASE spectroscopic ellipsometer. Design- Expert 7 was used to create ternary plots of the n and k values. 2.3 Etch rates Reactive ion etch (RIE) rate with O 2 and CF 4 were tested on an Oxford Plasmalab 80 plus etcher using a gas flow rate of 50 sccm, 100 watts of power, and 50 mtorr of pressure. 2.4 Simulations and profiles Reflectivity and FE plots were simulated using proprietary OptiStack simulation software. The 40-nm dense positivetone simulations were generated using a 6% attenuated phase shift mask, numerical aperture of 1.35, dipole source with y polarization, and inner/outer sigma of 0.84/0.98. The 40-nm dense negative-tone simulations were generated using a 6% attenuated phase shift mask, numerical aperture of 1.35, cquad source with xy polarization, and inner/outer sigma of 0.77/0.97. The resulting models were used to design lithography experiments for various blend ratios, and the lithography was performed with Nikon NSR-S307E and ASML XTi1900i exposure tools.

3.1 Optical constant tuning by formulation 3. RESULTS AND DISCUSSION The basic formulation is composed of a combination of resins, a crosslinker, and a curing catalyst. This system is thermoset, crosslinking occurs upon baking above 100ºC, and the resulting film cannot be removed in standard solvents. Three possible resins can be used in this system, two of which are chromophores (A and B) and the other is a typical polymer (C). The small size of the resins and the chemical composition chosen ensure the formation of a homogeneous blend during the coating/baking process. This homogeneity is especially important to minimize defects, as chemical incompatibilities can occur to create particles in solution or dissimilar polymers could phase separate when coated. 6,7 The chromophores are multi-armed molecules with molecular weights less than 1800 g/mole. A chromophore functionality is attached to a common central ring compound; the type of chromophore used controls the resulting optical values (n and k). These chromophores also have a large number of crosslinking sites to ensure proper thermosetting, which minimizes outgassing-related defects. Polymer C does not absorb light, it acts as a filler to create BARCs with reduced k values. It also contains crosslinking sites to incorporate into the thermoset matrix. All materials were chosen to provide the best resist adhesion and compatibility, good edge bead removal, and waste drain compatibility. Optical constants were measured on a VUV-VASE for samples containing varying ratios of the three materials. The optical constants did blend in a nearly linear fashion across a triangular space, shown in Figure 2. The plots were generated from an analysis of data using Design-Expert 7 statistical software. Each corner represents 100% of a specific component in the blend. The contour lines show the trend of the values and it can be readily observed that A contributes to higher n values and C contributes to lower k values. A mathematical formula to calculate a blend ratio for specific n and k-values was derived and showed good predictive properties. Figure 2. Ternary plots of n value (left ) and k value (right) at 193 nm for the possible combinations of resins A, B, and C. 3.2 Etch rate The RIE rates were measured in both O 2 and CF 4 with the same gas flow rate, pressure, and power. The etch rates were similar across the range of ratios of material, as can be seen in the plots in Figure 3. These plots were taken from an analysis of data using Design-Expert statistical software. Each corner represents 100% of that material in relation to the other two components. As the ratio moves toward 100% of resin C, the etch rate in O 2 increases from 36 to 48 Å/s.

Likewise, as the ratio moves towards 100% of A, the etch rate in CF4 increases from 8.2 to 11.8 Å/s. The contour lines on the plots show the transitions across the range of ratios. To put the data in perspective, the CF4 etch rates of a pure resin A sample are nearly identical to Brewer Science s fastest commercially available BARC, while resins B and C are typical of a moderately-fast-etching BARC. Figure 3. Ternary contour plots of etch rate in O2 (left) and CF4 (right) in Å/s. 3.3 Simulations and profiles We evaluated single- and dual-layer lithography using OptiStack simulation software for several blend compositions with varying optical properties with upper and lower limits for k of 0.13 to 0.45 and n between 1.67 and 2.04. Preliminary single- and dual-layer lithography results with this new blended system are shown below. Figure 4 is a representative example of how high FE can provide improved CD profiles, the dense lines show a dramatic reduction in footing when the FE was increased from 0.82 to 0.98 by a change in BARC thickness from 90 nm to 65 nm. This also caused a corresponding increase in reflectivity. The feature sizes in Figure 4 are 65nm on a silicon substrate under a standard commercial resist. Figure 4. Single-layer BARC with n = 1.79 and k = 0.33 with resist #1 at 2 different BARC thicknesses.

To have the same optical distribution on various substrates (SiON, oxide, SiN, etc.), we need to do an optical equivalent design to match a standard silicon substrate. The horizontal optical distribution can be equalized by using the same mask and the same step illumination condition. The vertical optical distribution must be equalized by a sophisticated stack design to have the exact same FE and comparable reflectivity. In most cases, a single layer cannot obtain the equivalent FE and R%. Therefore the layer needs another BARC underneath it to achieve equivalency. Figure 5 shows examples of the optical equivalent design that emulate the lithography on SiON substrates as optimized in Figure 1, where the target FE is ~ 0.97. These examples use two layers of the tailorable material of different n and k values. From the contour chart, we find the points having the same FE value and comparable R%, as indicated by the black circles, at which the optical output is R = 2.2% and FE = 0.96, R = 1.5% and FE = 0.97, and R = 3.3% and FE = 0.97, respectively. Figure 5. OptiStack simulations (%R and FE) and 40-nm lithography of dual-layer BARC stacks. In all three cases, the bottom layer consists of 30 nm of a BARC with optical constants of n = 2.04 + 0.41i. The top BARC n values are 1.79 + 0.33i, 1.86 + 0.27i, and 1.86 + 0.43 for (A), (B), and (C), respectively. The black circles represent the combination of BARC thicknesses used in the lithography test.

The results of the optical and material equivalence, the SEM cross sections, are also shown in Figure 5, and all of the profiles are very similar. We can expect to have similar results for the mentioned SiON substrate lithography if we use the tailorable BARC of n = 1.75+0.24i. 3.4 Negative-tone 40-nm lithography Lithography using organic solvents to develop positive-tone resists (negative-tone development, NTD) has many advantages for patterning contact holes, and has recently captured industrial attention. 9 With this new technology we have successfully achieved the experimental results of 40-nm dense lines with straight line profiles and an acceptable CD processing window. Figure 6 shows the simulation design of a BARC with optical constants of 1.9 + 0.29i on top of SiON. The small black circle is the design point where FE = 0.925 at very low reflectivity. Figure 7 shows the experimental lithography results. At the optimum depth of focus, the exposure latitude is about 15%. We believe this window could be improved if the FE is further optimized. Figure 6. OptiStack BARC design for cutting-edge 40-nm dense line NTD lithography on SiON. Figure 7. Lithography results of 40-nm dense line NTD lithography (a second BARC was used to simulate SiON). 4. CONCLUSION We have developed a tailorable BARC system in which n and k values can be varied separately, and we have applied it to a variety of lithography situations. This broad range of available optics allows us to more tightly control reflectivity and foot exposure, which then may make it possible to deal with new substrates and materials more easily. The system also has been shown to work well with cutting-edge NTD photoresists.

REFERENCES [1] Zhou Y., Li, Y., and Zhang, Q., Influence of polarization on the optimization of dual BARC structures for hypernumerical aperture ArF immersion lithography, Proc. SPIE 6724, 67240Y-1 67240Y-9 (2007). [2] Lee, K., Lee J., Lee, S., Park, D., Bok, C., and Moon, S., Optimization of BARC process for hyper-na immersion lithography, Proc. SPIE 6923, 1-9 (2008). [3] Zhu, Z., Piscani, E., Edwards, K., and Smith, B., Reflection control in hyper-na immersion lithography, Proc. SPIE 6924,, 69244A-1-69244A-7 (2008). [4] Zhu, Z., Piscani, E., Wang, Y., Macie, J., Neef, C. J., and Smith, B., Thin hardmask patterning stacks for the 22-nm node, Proc. SPIE 7274, 72742K-1-72742K-7 (2009). [5] Jurajda, D., Tenaglia, E., Jeauneau, J., De Simone, D., Zhu, Z., Piazza, P., Piacentini, P., and Canestrari, P., Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating, Proc. SPIE 7273, 72730Z-1-72730Z-10 (2009). [6] Lipatov, Y. S., and Nesterov, A. E., Thermodynamics of Polymer Blends, ChemTec Publishing, Lancaster, PA, (1997). [7] Cowie, J. M. G., Polymers: Chemistry and Physics of Modern Materials, 2nd edition, Chapman & Hall, London/New York, 181-186 (1991). [8] Carr, B., Evers, A., Weimer, M., Smith, B., and Leith, J., Minimizing the outgassing of spin-coated organic materials to reduce defects, Proc. SPIE 7638, 763823-1 - 763823-7 (2010). [9] Tarutani, S., Hideaki, T., and Kamimura, S., Development of materials and processes for negative tone development toward 32-nm node 193-nm immersion double-patterning process, Proc. SPIE 7273, 72730C-1-72730C-8 (2009).