Chemical Mechanical Planarization

Similar documents
4th Annual SFR Workshop, Nov. 14, 2001

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Passivation of Copper During Chemical Mechanical Planarization

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Post-CMP Cleaning: Interaction between Particles and Surfaces

The History & Future of

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

Test Patterns for Chemical Mechanical Polish Characterization

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Evaluation of Copper CMP Process Characterization Wafers

Notable Trends in CMP: Past, Present and Future

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

EE C245 ME C218 Introduction to MEMS Design

Czochralski Crystal Growth

NCAVS CMPUG Meeting July 16, 2009

CMP challenges in sub-14nm FinFET and RMG technologies

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

CMP Scratches; Their Detection and Analysis on Root Causes

ECE321 Electronics I

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing

Copper Interconnect Technology

Jianfeng Luo and David A. Dornfeld. I. INTRODUCTION THE MATERIAL removal rate (MRR) in the solid solid

1.1 Background Cu Dual Damascene Process and Cu-CMP

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Chapter 3 Silicon Device Fabrication Technology

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

Mesostructure Evolution: Multiscale Process and Materials Modeling in Microelectronics

CMP Applications and Issues Part 3

POLI- 500, POLI-762 Quick Overview

SLURRY FORMULATION OPTIONS

CMP Process Development for Shallow Trench Isolation (STI)

Advanced STI CMP Solutions for New Device Technologies

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

Exam 1 Friday Sept 22

AVS CMP Users Group Meeting

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Manufacturing. Continued

Regents of the University of California 1

IC Fabrication Technology Part III Devices in Semiconductor Processes

CMOS Manufacturing process. Design rule set

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

Welcome MNT Conference 1 Albuquerque, NM - May 2010

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Advanced pad conditioner design for Oxide/Metal CMP

CMP Solutions for the Integration of High-K Metal Gate Technologies

Regents of the University of California

9/4/2008 GMU, ECE 680 Physical VLSI Design

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Integration Issues with Cu CMP

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

Synergy between chemical dissolution and mechanical abrasion during chemical mechanical polishing of copper

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Fabrication and application of high quality diamond coated. CMP pad conditioners

Modeling of Local Oxidation Processes

Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials.

Surface roughness of optical quartz substrate by chemical mechanical polishing

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

II. A. Basic Concept of Package.

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Lect. 2: Basics of Si Technology

Manufacturing Process

Review of CMOS Processing Technology

INTEGRATED-CIRCUIT TECHNOLOGY

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

Mathematical Model-Based Evaluation Methodology for Environmental Burden of Chemical Mechanical Planarization Process

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

Lecture 22: Integrated circuit fabrication

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Evolution and Revolution of Cerium Oxide Slurries in CMP

Analysis of Large Pad Surface Contact Area in Copper CMP

Transcription:

1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA

2 Focus of this presentation CMP research milestones in SFR Overview of SFR vision for CMP process modeling validation metrology application Details of these and other key areas in posters! (Review past SFR presentations for more details)

3 CMP Broad Milestones September 30 th, 2001 Build integrated CMP model for basic mechanical and chemical elements. Develop periodic grating metrology (Dornfeld, Doyle, Spanos,Talbot). Model Outline Progressing- initial chemical and mechanical modules in development; Simulation software prototype; Matlab-based model in development. September 30 th, 2002 Integrate initial chemical models into basic CMP model. Validate predicted pattern development. Integrated process simulation with reduced parametric-metrology tuned MatLab-based model; Simulation model prototyped. (Dornfeld, Doyle, Poola, Spanos,Talbot). September 30 th, 2003 Develop comprehensive chemical and mechanical model. Perform experimental and metrological validation. Model enhancement and validation proceed; metrology validation underway (Dornfeld, Doyle, Spanos, Talbot)

4 Research Team modeling F. Doyle, Ling Wang, Amnuaysak Chianpairot D. Dornfeld, (MSME, B) E. Hwang, S. Lee, J. Luo (ME, B) optimization J. Talbot, T. Gopal (ChemE, SD) K. Poola, (ME, B) C. Spanos, R. Chang (EECS, B) validation metrology

5 CMP Parameters Input Parameters Pad Fiber Structure Conditioning Compressibility Modulus Output Parameters Material Removal Wafer Slurry ph Oxidizers Buffering Agents, Abrasive Concentration Abrasive Geometry and Size Distribution Wafer Geometry and Materials Process Pressure Velocity Temperature Slurry Flow Polish Time CMP WIWNU (Within-Wafer Non-Uniform Material Removal) WIDNU (Within-Die Non- Uniform Material Removal) Surface Quality Roughness, Scratching Die Surface

6 CMP Research in SFR PROCESS MODELING --parameters -pad -pad -abrasive -chemistry --materials SOFTWARE PACKAGING VALIDATION --SFR SFR testing --published data data --partner testing -other (3 (3 rd rd party party and and RPI) RPI) METROLOGY --scatterometry --mask & e-test --AE AE endpoint PROCESS APPLICATIONS -design --optimization -evaluation TOOL & CONSUMABLES --pad pad design --abrasive design --machine design DEVICE DESIGN --lithography --layout -materials

7 Process Modeling FUNDAMENTALS chemical effects mechanical effects Four Interactions: Wafer-Pad Interaction; abrasive chemistry Pad-Abrasive Interaction; Wafer-Slurry Chemical materials effects Interaction; Wafer-Abrasive Interaction Vol Chemically Influenced Wafer Surface Wafer Abrasive particles in Fluid (All inactive) Polishing pad Pad asperity Abrasive particles on Contact area with number N Active abrasives on Contact area

8 FUNDAMENTALS chemical effects mechanical effects abrasive chemistry materials effects several nanometer Process Modeling, cont d Why do we care about passivation? Pad Asperities Abrasive Particles Layer of Liquid between Asperities and Passive Film Passive Film of Copper Slurry Solution Bottom Harder Layer Semiconductor Substrate Layer of Copper Upper Softer Hydrated Layer Kaufman s Model for Chemical Mechanical Planarization Substrate of Metal Passivation Layer

9 Software Development SOFTWARE PACKAGING Real time visualization and computation

VALIDATION --SFR SFR testing --published data data --partner testing -other MRR vs time for differing pattern densities Normalized Remaining Step Height 1.1 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 0 20 40 60 80 100 120 140 Polishing Time (Second) 10 Validation Conductance (S) (normalized by the length of each line) Experimental (PD= 0.9) Experimental (PD= 0.85) Experimental (PD= 0.8) Experimental (PD= 0.67) Experimental (PD=0.5) Experimental (PD=0.2) M odel (PD= 0.9) M odel (PD= 0.85) M odel (PD= 0.8) M odel (PD= 0.67) M odel (PD= 0.5) M odel (PD= 0.2) Experimental data from Stavreva et. al., Microelectronic Engineering, Vol. 33, 1997. Erosion and Dishing Extraction from E-test Results Line width (micron)

11 Metrology METROLOGY --scatterometry --mask & e-test --AE AE endpoint AE 0.018 Signals(V) 0.016 0.014 0.012 0.010 0.008 A B 0.006 0 20 40 60 80 100 120 140 160 180 AE Data for STI CMP C D Time(sec) A B C D O x Nitride E-test structure for copper dishing

12 Model Implementation - Process PROCESS APPLICATIONS -design --optimization -evaluation Polishing Head and Platen Design, Pattern Design Pressure and Velocity Distribution optimization Non-Uniformity MRR 3 B 2 Down Pressure and Velocity Dependency of Material Removal optimization A 1 Consumable Parameters including Pad Topography, Pad Material and Abrasive Size MRR 0 K pe Framework of Non-Uniformity optimization P min P avg Pma x The material removal rate equation for different consumable combinations P 0

13 Model Implementation - Pad Materials/Shape TOOL & CONSUMABLES --pad pad design --abrasive design --machine design Pad Topography Wafer-Pad Contact under Down Pressure P 0 Contact area H= H stage 1 S 1 =Df 1 2 R Contact Pressure P P 0 1/3 Area A in Contact (Micro- Scale Size) After deformation Area-pressure relationship can be affected by material and geometry pressure

14 Model Implementation - Pad Design TOOL & CONSUMABLES --pad pad design --abrasive design --machine design 150um 50um(space) Top View Hard Material (i.e. high Young s modulus) Prototype surface, 55X Software surface Soft Material (i.e. high compressibility) Side View SMART pad surface

15 Model Implementation - Pad Fabrication TOOL & CONSUMABLES --pad pad design --abrasive design --machine design Soft polymer Soft part molding SMART pad for CMP 50µm 200µm Emulsion mask Si Mold with pockets Silicon grass after DRIE

16 Model Implementation - Abrasive Design TOOL & CONSUMABLES --pad pad design --abrasive design --machine design (X avg, σ) g 1 g 2 X avg Size Distribution Φ g Stage 1 Abrasive number n C/X avg 3 Material Removal Rate (nm/min) 800 700 600 500 400 300 200 100 0 (0.29µm, 0.07022µm) (0.60, 0.210633) (0.38, 0.118959) (0.88, 0.288768) y = 314.77x -0.6695 Experimental Mean MRR Prediction of the Model Power (Experimental Mean MRR) Power (Prediction of the Model) y = 325.1x -0.6411 0 0.5 1 1.5 2 2.5 Bielmann et. al., Electrochem. Letter, 1999 Abrasive Particle Size X avg (10-6 m) (2.0, 1.056197) Stage 2

17 10.0 9.0 8.0 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0-1.0-2.0-3.0-4.0-5.0-6.0-7.0-8.0-9.0-10.0 10.0 9.0 8.0 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0-1.0-2.0-3.0-4.0-5.0-6.0-7.0-8.0-9.0-10.0-10.0-8.0-6.0-4.0-2.0 0.0 2.0 4.0 6.0 8.0-10.0-8.0-6.0-4.0-2.0 0.0 2.0 4.0 6.0 8.0 10.0 10.0 10.0 9.0 8.0 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0-1.0-2.0-3.0-4.0-5.0-6.0-7.0-8.0-9.0-10.0 10.0 9.0 8.0 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0-1.0-2.0-3.0-4.0-5.0-6.0-7.0-8.0-9.0-10.0-10.0-8.0-6.0-4.0-2.0 0.0 2.0 4.0 6.0 8.0-10.0-8.0-6.0-4.0-2.0 0.0 2.0 4.0 6.0 8.0 10.0 10.0 Model Implementation - Machine Design TOOL & CONSUMABLES --pad pad design --abrasive design --machine design Pressure Slurry Inlet Pad Wafer Relative velocity G&P Poli 400 (new machine) Prototype CMP machine (under development)

18 Model Implementation - Device Design DEVICE DESIGN --lithography --layout -materials 0s 40s 60s 1µm 60 40 0 near Patterned SiO 2 Profile development-radial/rotation 1µm 0 60 40 0s 40s 60s Profile development-radial/no rotation

19 What is new at this review? - Passivation of copper during CMP (Doyle, et al) - Electrochemical behavior of oxidizers in CMP systems (Doyle, et al) - Orientation effect in CMP shape evolution (Dornfeld, et al) - SMART pad development and fabrication (Dornfeld, et al) - Abrasive size effects in CMP (Talbot, et al) - Dishing and erosion in Cu Damascene CMP with linear viscoelastic pad behavior (Dornfeld, et al) - Erosion and dishing measurements with e-test in copper damascene process (Spanos, et al) See posters in session for more details!