Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Similar documents
Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Ultra High Barrier Coatings by PECVD

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Etching Mask Properties of Diamond-Like Carbon Films

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

Low temperature deposition of thin passivation layers by plasma ALD

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

[1031] Structural Characterisation of ALD coated Porous Si via Beam-Exit Cross-Sectional Polishing

EECS130 Integrated Circuit Devices

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Atomic layer deposited aluminum oxide barrier coatings for packaging materials

Lect. 2: Basics of Si Technology

Supporting Information. Far-UV Annealed Inkjet-Printed In 2 O 3. Semiconductor Layers for Thin-Film Transistors on

ALD Film Characterization Rachel Brown 5/13/14

RIE lag in diffractive optical element etching

UV15: For Fabrication of Polymer Optical Waveguides

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate

ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES

ALD systems and SENTECH Instruments GmbH

PRICE LIST 3IT.NANO 2017

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Techniques of cryogenic reactive ion etching in silicon for fabrication of sensors

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Roll-to-roll ALD prototype for 500 mm wide webs

Self organization and properties of Black Silicon

Selective-Area Atomic Layer Deposition (SA-ALD) of Titanium Dioxide (TiO 2) using Poly(methyl methacrylate) (PMMA) Michael Tu 5/12/2016

Nanoscale Barrier Coating on BOPP Packaging Film by ALD Presented by: Dr. Johanna Lahti Senior Research Fellow Tampere University of Technology

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Examples of dry etching and plasma deposition at Glasgow University

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Growth of Y 2 O 3 and HfO 2 as Single Compounds and as Nanolaminates on Si using Atomic Layer Deposition Adam Kueltzo

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100)

Microelectronic Device Instructional Laboratory. Table of Contents

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Advanced Polymers And Resists For Nanoimprint Lithography

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Atomic Layer Deposition

High Aspect Ratio Silicon Wire Array Photoelectrochemical Cells

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

Review of CMOS Processing Technology

Fabrication Technology, Part I

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

The impact of atomic layer deposition technological parameters on optical properties and morphology of Al 2 O 3 thin films

Supplimentary Information. Large-Scale Synthesis and Functionalization of Hexagonal Boron Nitride. Nanosheets

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Growth of large single-crystalline two-dimensional boron. nitride hexagons on electropolished copper

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

I. Introduction. Deok-Sin Kil, Seung-Jin Yeom, Kwon Hong, Jae-Sung Roh, Hyun-Cheol Sohn, Jin-Woong Kim and Sung-Wook Park

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Plateau-Rayleigh crystal growth of nanowire. heterostructures: Strain-modified surface chemistry

A Study of the Formation Modes of Nanosized Oxide Structures of Gallium Arsenide by Local Anodic Oxidation

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

A Nano-thick SOI Fabrication Method

Supplementary Information

A Study of the Formation Modes of Nanosized Oxide Structures of Gallium Arsenide by Local Anodic Oxidation

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Supporting Online Material for

Extending product lifetime with ALD moisture barrier

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness

Multisegmented Nanotubes by Surface-Selective Atomic Layer Deposition

Doping and Oxidation

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

High Barrier Multi-Layer Parylene Coating

Integrated Circuits & Systems

Fabrication Technology

Impact of the Deposition and Annealing Temperature on the Silicon Surface Passivation of ALD Al 2 O 3 Films

Supporting Information for Effects of Thickness on the Metal-Insulator Transition in Free-Standing Vanadium Dioxide Nanocrystals

micro resist technology

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Chapter 3 Silicon Device Fabrication Technology

Ashraf Abdel Haleem 1, 2) *, Masaya Ichimura 1)

ENS 06 Paris, France, December 2006

Process Flow in Cross Sections

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Synthesis of diamond-like carbon films with super-low friction and wear properties

In-situ Monitoring of Atomic Layer Deposition Processes

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Transcription:

IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique K Grigoras 1, L Sainiemi 1, J Tiilikainen 2, A Säynätjoki 2, V-M Airaksinen 1, S Franssila 1 1 Microelectronics Centre, MICRONOVA, Department of Electrical and Communications Engineering, Helsinki University of Technology, P.O.Box 3500, FI- 02015 TKK, Finland kestas.grigoras@tkk.fi 2 Optoelectronics Laboratory, MICRONOVA, Department of Electrical and Communications Engineering, Helsinki University of Technology, P.O.Box 3500, FI- 02015 TKK, Finland Abstract. Ultra-thin layers of aluminum oxide (less than 1 nm) were grown by atomic layer deposition (ALD) technique on hydrogen-terminated silicon substrates. A new technique, called plasma defect etching, was proposed for the continuity evaluation of such a layer. The layer was examined by using it as a mask in silicon etching at cryogenic temperatures in a DRIE reactor. The etch profile was characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM) techniques. Island formation during initial cycles was confirmed. Thicker aluminum oxide layers (1-5 nm thick) were patterned by wet or dry (plasma) etching and used as a mask for deep silicon etching at cryogenic temperatures in a DRIE reactor, using SF 6 and O 2 gas mixture. We found aluminum oxide to be an extremely resistant mask, etched only 0.05 nm/min. The value for the Si to Al 2 O 3 selectivity reached 70 000:1. 1. Introduction Selection of the etch mask material is an important issue in deep reactive ion etching (DRIE) [1]. Etching few hundreds of micrometers deep (or through the wafer) requires thick mask layer, reaching micrometers. On the other hand, patterning of a thick mask becomes a problem when structures with sub-micrometer size are needed. Therefore, a mask with extremely high selectivity is needed, and, in some cases, aluminum oxide can be one of the best candidates here [2]. Additionally, aluminum oxide is an attractive material particularly because of its thermal and chemical stability, excellent dielectric properties, and good adhesion to many surfaces. It makes Al 2 O 3 a promising candidate for replacing SiO 2 dielectrics. Atomic layer deposition is a potential technique for manufacturing conformal layers with thicknesses down to the nanometer range [3], and Al 2 O 3 growth is one of the most investigated and developed ALD processes [4, 5]. Nevertheless, there is much less investigation concerning the initial phase of the layer growth. Growth on H-passivated silicon is nonlinear, exhibiting an incubation period due to inhomogeneous nucleation [6]. It is still questionable, if, after several initial cycles of ALD, there can already be a continuous layer or only 2007 IOP Publishing Ltd 369

370 separate initial islands [4, 7]. Therefore, the choice of the right growth mode is an important task in understanding the initial growth stage [4]. Of course, monitoring and investigation of a layer whose thickness is below one nanometer is a great challenge. In this work we report the formation and application of ultra-thin layers of aluminum oxide (less than 1 nm thick). Layers were grown on hydrogen-terminated silicon substrates by atomic layer deposition (ALD). Plasma etching of silicon through those masking layers was applied as a tool to examine the uniformity of the mask: etching occurs only in the voids, holes and other defects of the layer. Obtained surface morphology was characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Second part of this work was application of thin aluminum oxide layer as a mask in deep reactive ion etching of silicon. Mask corrosion rate under different conditions was examined and the etch rate selectivity to silicon was evaluated. 2. Layer formation 100 and 200 mm silicon wafers have been used as substrates. In order to perform the layer growth process at the same initial conditions, the substrates were rinsed for 1 min in buffered hydrofluoric acid solution. Therefore, ALD process was performed on a hydrogen-terminated silicon surface. The aluminum oxide layer was grown by atomic layer deposition (ALD) technique in Thin Film Systems TFS-500 reactor (Beneq Oy, Finland) using trimethyl aluminum (TMA) as the metal precursor, and water or ozone as the oxygen precursor. The process was conducted at 220 o C temperature. Nitrogen was used as a carrier gas. Total cycle length was 1.5 seconds, including 0.5 s purge pulse after each precursor pulse. Thicker layers (20-100 nm thick) have been grown in order to evaluate the growth rate. The rate was 0.9 Å/cycle and 0.84 Å/cycle for water and ozone as oxidant precursor, respectively. Those data correspond well with the aluminum oxide growth rates in ALD obtained by other authors [5, 7, 8]. Ultra-thin layers were investigated: about 5 nm thick layers were grown and tested as a mask for deep etching of silicon; 1 nm and thinner layers were grown for investigation of ALD process at the initial phase: in that case, only 2-10 cycles were applied. The obtained aluminum oxide layers were used as grown, i.e. no annealing or other post-processing step was used. 3. Patterning of aluminum oxide layer Layers of aluminum oxide were used as a mask when plasma etching silicon at cryogenic temperatures (cryo-drie). For that purpose, standard photoresist AZ-5214 was spin-coated on top and patterned by photolithography first. Then, aluminum oxide was etched with the patterned photoresist using Plasma- 80 reactive ion etcher (Oxford, Great Britain). The process runs at room temperature, using SF 6 gas. The etch rate for aluminum oxide was 5 nm/min. Finally, photoresist was stripped in acetone and isopropanol (IPA). 4. Measurements and discussion 4.1 Silicon etching with aluminum oxide mask The silicon substrate with patterned aluminum oxide layer was etched in an inductively coupled plasma reactive ion etcher (ICP-RIE) Plasma-100 (Oxford, Great Britain). The process was conducted at -110 o C, using SF 6 and O 2 gas mixture. Low temperature was chosen to improve the silicon etching anisotropy and to decrease the etch rate of the mask. Etched structures were characterized using field emission scanning electron microscope SUPRA TM 40 FESEM (Zeiss, Germany). Figure 1 shows a SEM picture of the sample cross-section, where micro-pillars (and micro-tubes) are formed by 10 minutes of plasma etching. The white-looking area on top is aluminum oxide. As it is seen from figure 1b, some mask under-etching takes place (about 100 nm), and the free-standing ultra thin aluminum oxide layer is almost transparent there. It was not possible to evaluate the thickness of the aluminum oxide masking layer remaining after the DRIE process. Selectivity of aluminum oxide to silicon was evaluated by longer etching, for example, through the silicon substrate. In that case, 6 nm thick aluminum oxide layer was enough, in

371 Figure 1. SEM cross-section view of sample with micropillars etched during 10 min in cryo- DRIE (one hollow pillar is shown at higher magnification in fig.1b). order to etch 400 µm into silicon. From this data, the calculated silicon to Al 2 O 3 selectivity reached 70 000:1. This is an excellent result, especially taking into account that at room temperature this value can reach only about 50:1 (our results and [9]). The obtained etch rate for aluminum oxide was only 0.05 nm/min. 4.2 Investigation of ultra-thin ALD layers Very short plasma etching steps were applied to investigate growth of the ALD layer during the first deposition cycles or so called incubation period. Cryo-DRIE etching of silicon was used, because aluminum oxide is quite resistant to it (as it was obtained in section 4.1). In that case, etching of silicon appears only in a voids and microholes of the grown layer. It means, the etch profile generally repeats the non-continuous structure of the aluminum oxide layer. Therefore, this technique could be called plasma defect etching (PDE). Extremely short plasma etching time is required by the possible underetching of nanometer-size structures of the ALD layer. Of course, it cannot be assumed that etching process stable during such a short time. Therefore, both silicon etch rate and anisotropy, and also mask etch rate can differ from the average values obtained for longer etch times. This was tested by evaluating and comparing the etch depth obtained after different etching times: 20 nm (1s), 65 nm (2s), 160 nm (5s). Samples were characterized using SEM and AFM. Figure 2 shows SEM pictures of the cross-section of the structure formed only during 2 and 5 cycles, and obtained after 1 second DRIE process. In the case of 2 cycles with water used as a precursor, there is just a rough wavy etched surface (figure 2a), indicating that probably only individual nano-islands of aluminum oxide have been formed. Larger aluminum oxide islands are grown during 5 cycles of ALD, and the largest one still remains in place after DRIE, as seen from figure 2b. Their shape and distribution is quite random, and the size ranges from 100 nm to a few micrometers. When using ozone instead of water, formation of a continuous layer starts faster. The etched sample with 2 cycles indicates densely spaced aluminum oxide islands, 10-30 nm in size (figure 2c). 5 ALD cycles already produces uniform and almost continuous layer: only small separate holes, about 5 nm and less in diameter, have been etched into silicon (figure 2d). Almost the same continuous layer with etched separate nano-holes was obtained for 8 cycles, using water as a precursor. Therefore, we assume that, in the case of water, layer nucleation is delayed by 2-3 cycles, compared to the ozone process. It can be explained by the higher activity of ozone when breaking Si-H bonds and forming interfacial SiO 2 [7, 8, 10]. The interfacial oxide layer, seen by high resolution transmission electron microscopy (TEM), was about 1.5 nm thick for the ozone process [8], and only 0.15 nm thick for water process [10]. Our results of plasma defect etching obtained for initial ALD cycles confirm the island formation on a hydrogen-terminated silicon surface. Our PDE technique is not very applicable for the investigation of the interfacial region, where TEM, x-ray photoelectron

372 c) d) Figure 2. SEM cross-section view after 1 s etching in cryo-drie of different ALD aluminum oxide layers: a) 2 cycles / water, b) 5 cycles / water, c) 2 cycles / ozone, d) 5 cycles / ozone. spectroscopy (XPS), secondary ion mass spectroscopy (SIMS) and other techniques can be used [7, 8, 10]. On the other hand, the advantage of PDE technique is the possibility to get a 2-dimensional picture of the structure, which enables to examine the shape and distribution of the grown islands. The samples after PDE have been examined also with atomic force microscope NTEGRA (NT- MDT, Russia), operating in contact mode. Figure 3a shows an AFM scan after 2 s DRIE of aluminum oxide layer grown during 5 cycles with water as a precursor. Obtained profile with islands corresponds to the SEM picture shown in figure 2b, except that the longer plasma pulse results in about 60 nm deep etching into silicon. We have tried to test by DRIE the large area homogeneity of layers, grown during 10 cycles. In that case, there were no through-etched structures visible by SEM or AFM, even after 5 seconds of etching. We assume that 10 cycles of ALD already produces a continuous layer. As seen from the AFM scan (figure 3b), there is some fluctuation in thickness, but no through-holes or pipes. Authors of [7] observed Al 2 O 3 islands even after 15 cycles. This discrepancy can be caused by different precursor pulses and purge pulses used, what is quite important at the initial phase. Of course, layer thickness cannot be measured by AFM. Comparing with AFM, we found SEM to be more suitable for PDE examination because of larger possible test-area, direct visual information and faster operation. It is worth mentioning again that all the experiments were performed on hydrogen-terminated silicon substrates. ALD growth on an oxidized substrate results in faster establishment of the growth rate, almost eliminating the incubation period, during which interfacial SiO 2 would be formed [10].

373 Figure 3. AFM scans after 2 s etching of aluminum oxide layers grown during 5 cycles (a) and 10 cycles (b), with water used as a precursor. 5. Conclusions A new plasma defect etching (PDE) technique was proposed for the continuity examination of ultrathin layers of aluminum oxide (less than 1 nm) grown during the incubation period of ALD process. The layer was examined by using it as a mask in silicon etching at cryogenic temperatures in DRIE reactor. The etch profile was characterized by SEM and AFM techniques. PDE showed that in the case of water as an oxidation precursor, 5 ALD cycles form only separate islands of aluminum oxide. On the other hand, ozone use as a precursor helps to oxidize the silicon surface and accelerates this way the initial growth of the layer: 5 cycles produces a layer with minor pinholes a few nanometers in diameter. Measurements showed that after 10 ALD cycles the layer is already continuous, without microscopic defects or holes. We can state, that this PDE technique can give additional information about the homogeneity of the initial growth of an ALD layer, where other measurement techniques are not applicable because of too low resolution or too complicated data interpretation. Thicker aluminum oxide layers (1-5 nm thick) were used as a mask for deep silicon etching at cryogenic temperatures in a DRIE reactor. We found aluminum oxide to be an extremely resistant mask, etched only at the rate 0.05 nm/min. The value for the Si to Al 2 O 3 selectivity reached 70 000:1. Acknowledgment The work was supported by the Finnish National Technology Agency TEKES (grant #40322/05). References [1] De Boer M J, Gardeniers J G E, Jansen H V, Smulders E, Gilde M-J, Roelofs G, Sasserath J Nand Elwenspoek M 2002 J. Micromech. Syst. 11 385 [2] Sainiemi L and Franssila S 2006 Proc. Micro Structure Workshop MSW 2006 (Västerrås, Sweden, 9-11 May 2006) p 80 [3] Leskelä M and Ritala M 2003 Angew. Chem. Int. Ed. 42 5548 [4] Puurunen R L 2005 J. Appl. Phys. 97 121301 [5] Ott A W, Klaus J W, Johnson J M and George S M 1997 Thin Solid Films 292 135 [6] Frank M M, Chabal Y J and Wilk G D 2003 Appl. Phys. Lett. 82 4758 [7] Puurunen R L, Besling W F A, Richard O, Bender H, Conard T, Zhao Ch, Delabie A, Caymax M, de Gendt S, Heyns M, Viitanen M M, de Ridder M, Brongersma H H, Tamminga Y, Dao T, de Win T, Verheijen M, Kaiser M and Tuominen M 2004 J. Appl. Phys. 96 4878 [8] Ha S-Ch, Choi E, Kim S-H and Roh J S 2005 Thin Solid Films 476 252 [9] Tegen S and Moll P 2005 J. Electrochem. Soc. 152 G271 [10] Busch B W, Pluchery O, Chabal Y J, Muller D A, Opila R L, Kwo J R and Garfunkel E 2002 MRS Bulletin (March) 206