Laser Spike Annealing for sub-20nm Logic Devices

Similar documents
Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

SCREEN Thermal Products

Implant And Annealing Process Integration Issues To Reduce Device Variability For <10nm p+ & n+ Ultra-Shallow junctions

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

EECS130 Integrated Circuit Devices

Chapter 3 Silicon Device Fabrication Technology

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Junction formation in Ge by coimplant. and pre-heating techniques

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

MOS Front-End. Field effect transistor

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

SiC high voltage device development

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Lecture 0: Introduction

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Chapter 5 Thermal Processes

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

Low Thermal Budget NiSi Films on SiGe Alloys

Fabrication and Layout

1. Introduction. What is implantation? Advantages

FABRICATION of MOSFETs

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

Monitoring Ion Implantation and Annealing Precision to Reduce Device Variability

Make sure the exam paper has 9 pages total (including cover page)

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Czochralski Crystal Growth

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

行政院國家科學委員會補助專題研究計畫成果報告

Problem 1 Lab Questions ( 20 points total)

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

Review of CMOS Processing Technology

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Lecture 22: Integrated circuit fabrication

Chapter 3 CMOS processing technology

Integrated Circuit Engineering Corporation EPROM

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

Chapter 2 Manufacturing Process

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Semiconductor Device Fabrication

Performance Predictions for Scaled Process-induced Strained-Si CMOS

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Optical pumping and final metal investigation

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

EE 143 CMOS Process Flow

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Lecture 1A: Manufacturing& Layout

Abstract --- We investigated phosphorus and boon implanted emitter and selective emitter junction formation

9/4/2008 GMU, ECE 680 Physical VLSI Design

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure

EE-612: Lecture 28: Overview of SOI Technology

Thin metal film 4H-SiC vertical Schottky photodiodes for UV Index monitoring

Microfabrication of Integrated Circuits

A LOW SERIES RESISTANCE, HIGH DENSITY, TRENCH CAPACITOR FOR HIGH-FREQUENCY APPLICATIONS

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Doping and Oxidation

Lect. 2: Basics of Si Technology

Making III-V contact with silicon substrates

Silicon Wafer Processing PAKAGING AND TEST

45nm Node p+ USJ Formation With High Dopant Activation And Low Damage

Semiconductor Technology

Complementary Metal Oxide Semiconductor (CMOS)

EE 330 Lecture 9. IC Fabrication Technology Part 2

New approaches to classical Silicon Solar Cells

4 Concept of Ripple Pyrometry during Flash Lamp Annealing

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Complexity of IC Metallization. Early 21 st Century IC Technology

Transcription:

Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Outline Introduction Pattern Loading Effects LSA Applications Dopant Activation Ti silicide Summary 2 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

LSA Overview v s CO 2 Laser (10.6μm) Power Control Algorithm Temperature Conversion Reflective Optics p-polarized Hot Chuck Scanning Stage Emission Detector Laser Beam V s Dwell time = w v x Silicon Note: Beam is stationary, wafer scans Key Attributes Within-die Uniformity CO2 Laser: λ ~ 10um P-polarized, brewster angle Within-wafer & Wafer-to-wafer Temperature feedback control 3 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Pattern Loading Effects 4 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Pattern Effects and Parametric Yield System-on-a-Chip A B T Low T High Variations in pattern density lead to local variations in the absorbed radiation during RTP or millisecond anneal This can lead to local variations in peak temperature, and variations in performance of devices which are supposed to be matched Device A gets colder during anneal Device B gets hotter during anneal Device Performance Mismatch! Pattern loading effects during millisecond annealing or RTP can cause device performance mismatch within the die parametric yield loss and degraded circuit speed 5 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Pattern Loading Effects in RTP: Equipment Solution Ref 1 Highlights Lots of recent work on how to change RTP equipment solutions to suppress pattern effects: backside heating 1 or Differential Thermal Energy Control 2 These approaches are being implemented in Fabs for critical processes at advanced nodes Current implementations for dummification are not adequate for critical processes Ref 2 1. X. Yu et al, IEDM 2012 2. P. Timans et al., IWJT 2014 6 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Pattern Effects in MSA: Layout Design Solution Pre-dummification Post-dummification ΔT > 100 o C (typical) (yp Simulation showed ΔT < 50 o C SC Lin et al., Using genetic algorithm to optimize the dummy filling problem of the flash lamp anneal process in semiconductor manufacturing, J. Intell. Man. (2012) Difficult to reduce to acceptable levels due to short heat diffusion length (~100um) Difficult to make design rules to cover all layouts in a foundry environment 7 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Pattern Loading Effects: Thin Film Interference PLE: DL > FLA >> LSA Re eflectivity (%) FLA/RTP Diode Laser (λ=0.8um) Re eflectivity (%) 100 80 60 40 CO2 θ Bare Si wafer 340 nm oxideonsi +10% oxide thickness -10% oxide thickness 120 nm poly on oxide +10% poly thickness -10% poly thickness LSA CO 2 (λ =10.6um) P-polarized Brewsters Brewster sangle 20 Wavelength (μm) 0 9.0 9.5 10.0 10.5 11.0 11.5 12.0 Wavelength (μm) PLE caused by thin film interference variations severe at short λ Long λ+p-pol+brewster s angle make LSA insensitive to device film variations 8 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

2 5 Pattern Loading Effects in Millisecond Annealing: Equipment Solution (LSA) LSA Flash Anneal / Diode Laser 0 5 1 0 1 5 2 0 Fins θ Long λ Brewsters Angle No shadowing or light trapping by Fins Fins Short λ Near normal incidence Can have light trapping by Fins Silicon Silicon Measured reflectance map Measured reflectance map ΔT ~ 10 o C ΔT > 100 o C LSA provides an equipment solution for PLE in millisecond annealing 9 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Applications 10 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

LSA Applications for 14/10nm FinFET Devices Fin Hi-k anneal Extension anneal Ti silicide S/D Anneal & Re-activation Device Applications Source/Drain extension annealing Deep Source/Drain annealing Hi-k anneal Dopant re-activation Ti Silicide LSA enables device performance improvements and leakage reduction for FinFETs 11 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Source/Drain (epi) Activation Post-dep properties of Si:P Epi 1 Post-LSA properties of Si:P Epi 1 As-deposited activation efficiency is low Trade-off between growth rate and activation Activation greatly improves with LSA Further improvement with cryogenic a-si + LSA LSA improves activation and device performance by S/D activation in FinFETs 2 Sources: 1.Itokawa et al., IWJT 2012, 2.Yamashita et al, VLSI 2011 12 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Thermal Profiles of LSA vs. Flash Anneal T1 T2 T2 Active Carr rier Concen ntration ne ear peak (cme Carrier Con ncentration 3) ( De-activation during FLA anneal 1.E+21 10 21 (cm -3 ) Peak Active 1E+20 1.E+20 10 T2=1100C, As 3keV 2e15 Ref: H. Kennel (Intel), RTP 2010 10 20 600 700 800 900 1000 Intermediate Temperature, T1 (C) LSA is a true low thermal budget anneal with no dopant de-activation Extra thermal budget of FLA can cause dopant de-activation slower devices 13 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

New Channel Materials 7nm pfet 7nm nfet Me elting Tempe erature (C) 1600 1400 1200 1000 800 600 Si In.5 Ga.5 As InP Ge A. Steegen, Imec Technology Forum, Semicon 2014 New channel materials will suffer damage at much lower thermal budgets than Si Low thermal budget of LSA compatible with new channel materials 14 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Arsenic Activation and Diffusion in Ge SPE Regrowth Thickness for 200usec LSA (calculated) Ge Si Highlights Ge substrates* implanted with As 5keV 2e15 (creates ~10nm self amorphizing layer) Since SPE happens in Ge at much lower temperatures than Si, use low chuck temperatures to reduce thermal budget** Splits: Peak temperature: t 600 to 900C Dwell time: 200 and 800usec Chuck temperature: RT and 200C *Note: Substrates were Ga doped to ~ 6e17cm-2 ** A higher SPE temperature typically results in higher activation * Y. Wang et al., IWJT 2014 15 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Arsenic Activation in Ge: Results Chuck T=200 o C Chuck T=200 o C 200usec 200 and 800 usec Significant diffusion starts above 760C at 200usec Significantly ifi more diffusion i at 800usec than 200usec * Y. Wang et al., IWJT 2014 16 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Arsenic Activation in Ge: Results (cont d) Rs vs. Temperature Rs vs. Xj Significant Rs reduction at 800usec due to extra diffusion Chuck temperature RT vs. 200C did not make significant difference * Y. Wang et al., IWJT 2014 17 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

LSA for Titanium Silicide Schottky barrier height Advantages of LSA for Ti silicide φb ρc exp Higher temperature than RTA N D lower contact resistance Dopant concentration Minimal interdiffusion of gate stack layers Process control Minimal pattern effects Closed loop temperature control Becomes critical for Ti silicide where process window is smaller than Ni silicide Refs: D. James, AVS JTG Semicon West (2013) and C. Sohn et al., IEEE Trans. Elec. Dev., Apr. 2013. 18 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

LSA for Ti silicide: Phase Transformation Study Y. Wang et al, IWJT 2014 Phases detected by XRD: A1: Ti A2: Ti, Ti 5 Si 3 A3: Ti 5 Si 3, possible Ti 5 Si 4 or TiSi 20nmTi with B2/B3: TiSi 2 (C40) 10nm TiN cap B4/B5: TiSi 2 (C54) Examples of φ B (G. Qttaviani et al, 850-1000 o C Phys. Rev. Lett., 1980): TiSi 2 : 0.6V TiSi: 0.5V NiSi: 0.67V Low Rs not required Low Rc is the goal (low φ B ) No need to anneal at temperatures greater than ~1000C, where gate stack could be compromised 19 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Measured reflectance: LSA (10.6um) Pattern Loading Effects (PLE) For Silicides Within-die temperature distribution: Simulated from measured reflectance maps 40 Measured reflectance: Diode Laser (0.8um) ΔT ~ 10C ensity obability D Pr 30 20 10 Diode laser LSA Ti Silicide Process Window (estimated) ΔT > 100C 0 700 750 800 850 900 950 T ( o C) Severe PLE of diode laser could impact yield for Ti silicide (PLE >100C) PLE of LSA is well within the process window (PLE~10C) 20 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014

Summary Long-wavelength LSA provides an equipment-design solution for pattern loading effects in millisecond annealing LSA plays a critical role in reducing series resistance and leakage in today s FinFETs through multiple applications As sub-10nm devices migrate to new channel materials, low thermal budget annealing approaches such as LSA will be become more critical 21 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014