IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Similar documents
Chips Face-up Panelization Approach For Fan-out Packaging

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

Nanium Overview. Company Presentation

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1.

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost Comparison of Fan-out Wafer-Level Packaging to Fan-out Panel- Based Packaging

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

The Development of a Novel Stacked Package: Package in Package

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

3D-WLCSP Package Technology: Processing and Reliability Characterization

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Failure Modes in Wire bonded and Flip Chip Packages

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

Challenges for Embedded Device Technologies for Package Level Integration

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

Material based challenge and study of 2.1, 2.5 and 3D integration

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

A Cost Analysis of RDL-first and Mold-first Fan-out Wafer Level Packaging

II. A. Basic Concept of Package.

Warpage Tuning Study for Multi-chip Last Fan Out Wafer Level Package

Panel Discussion: Advanced Packaging

JOINT INDUSTRY STANDARD

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Two Chips Vertical Direction Embedded Miniaturized Package

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

Cu electroplating in advanced packaging

Next Gen Packaging & Integration Panel

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Chapter 14. Designing with FineLine BGA Packages

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY

Development of Next-Generation ewlb Packaging

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Solder joint reliability of cavity-down plastic ball grid array assemblies

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview

5. Packaging Technologies Trends

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Compression molding encapsulants for wafer-level embedded active devices

An Innovative High Throughput Thermal Compression Bonding Process

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste

SEMI Networking Day 2013 Rudolph Corporate Introduction

Towards Industrialization of Fan-out Panel Level Packaging

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017

MEPTEC Semiconductor Packaging Technology Symposium

Innovative Substrate Technologies in the Era of IoTs

Design for Flip-Chip and Chip-Size Package Technology

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Increasing challenges for size and cost reduction,

CSP Die Shrink Solution for Memory Devices

Wafer Level Molded DDFN Package Project Duane Wilcoxen

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Solder joint reliability of plastic ball grid array with solder bumped flip chip

14. Designing with FineLine BGA Packages

Next Generation ewlb (embedded Wafer Level BGA) Packaging

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

THROUGH-SILICON interposer (TSI) is a

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

CGA TRENDS AND CAPABILITIES

Factors Influencing Semiconductor Package Migration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Glass Carrier for Fan Out Panel Level Package

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages

Wafer Level Chip Scale Package (WLCSP)

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

S/C Packaging Assembly Challenges Using Organic Substrate Technology

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Fraunhofer IZM Bump Bonding and Electronic Packaging

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

ECE414/514 Electronics Packaging Spring 2012 Lecture 2. Lecture Objectives

Flip Chip Challenges

Failure Analysis for ewlb-packages Strategy and Failure Mechanisms

SEMI MEMS Tech Seminar (Sept 26, Cornaredo, Italy)

Development of Novel High Density System Integration Solutions in FOWLP Complex and Thin Wafer-Level SiP and Wafer-Level 3D Packages

Development of Super Thin TSV PoP

Customer Process Change Notification Form

Effect of Design Parameters on Drop Test Performance of Wafer Level Chip Scale Packages

Transcription:

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging (FOWLP) is finally beginning to gain traction as a means of packaging semiconductor chips with interconnect densities exceeding the capabilities of standard Wafer Level Chip Scale Packaging (WLCSP). However, despite its promise, widespread adoption of FOWLP has not yet occurred due largely to reported manufacturablity, yield and reliability concerns as well as cost-effectiveness as compared with existing laminate BGA packaging. This paper describes a novel fully molded FOWLP technology which addresses the noted concerns. In this approach, Cu pillars are fabricated at IO locations on the native semiconductor wafer prior to the panelization process. Then, during panelization, the front and sides of the die are fully covered with mold compound, with the Cu pillars providing current pathways through the mold compound on the front die surface. Next, buildup processing is performed on the front panel surface with interconnection between the exposed Cu pillars and a fanout bump array. Finally, an epoxy laminate is applied to the backside of the panel to fully encase the die in epoxy. The benefits of the fully molded structure include: (1) elimination of the discontinuity at the die surface between the edge of the die and the mold compound; and (2) improved board level reliability as a result of the molded layer separation between the chip and the printed circuit board (PCB) connections. The end result is a rugged package. The fully molded package has been coupled with an Adaptive Patterning * methodology which compensates for die shifts introduced in pick and place, molding and curing operations during panelization. The dynamic routing produced by Adaptive Patterning allows the design on each package on every panel to be customized for the actual die location. This enables higher pick and place speeds, tighter via ground rules, higher yield, and lower overall costs. The two approaches together represent a significant departure from past fan-out technologies and help address many of the manufacturability, cost, yield, and reliability issues that have limited widespread adoption of this technology. This paper details the construction of a fully molded FOWLP built using Adaptive Patterning and provides modeling and reliability data validating the approaches. Key words: Adaptive Patterning, fan-out wafer-level packaging (FOWLP), panelized packaging, WLP INTRODUCTION The handheld consumer electronics space, where portability and increasing functionality are strong drivers, continues to motivate the transition to packaging approaches that provide small size, high performance, and low cost. Wafer Level Chip Scale Packaging (WLCSP), which offers the smallest packaging form factor, has often been a preferred option for addressing the handheld market. In WLCSP, chip IOs are generally fanned-in across the die surface using polymer and redistribution line (RDL) buildup layers to produce an area array, and large solder bumps are formed at the terminals by ball drop or plating. These additive processes allow the chip to be attached directly to a PCB with high reliability. However, two progressions in front-end chip manufacturing bring challenges to packaging in a WLCSP format: (1) die shrink, enabled by advancing semiconductor technology nodes, makes it increasingly difficult to fit all of the large solder ball IOs on the die surface; and (2) increasing chip functionality produces a need for more IOs, also making WLCSP packaging more difficult. One approach to extending WLCSP is to shrink the size of the IOs or solder bumps on the chip surface so that more can fit within the chip area. However, this approach is generally limited by a lack of assembly infrastructure, design rule limitations in end application PCBs or higher assembly costs in managing the smaller or tighter pitch IOs. [1] Fan-out, or FOWLP has been offered for a number of years as an alternative for addressing constraints to WLCSP [2,3]. In this technology, chips are singulated and then embedded in a panel. A common method for forming this panel is to place the chips face-down on a carrier at a desired pitch and then mold over them using compression or print molding. The molded panel is subsequently separated from the carrier. The panel is often formed in the shape of a wafer, so that standard wafer processing techniques can be used to create buildup layers on the panel surface. The extra panel surface around the chip allows IOs to be both fanned in over the chip and fanned out across the mold compound, thus

accommodating a larger number of IOs. After buildup layer processing and solder ball attachment, the packages undergo backgrinding, laser marking, and singulation, just like WLCSPs. The resulting package is often just slightly larger than the chip and just large enough to accommodate the IOs. Like WLCSPs, the package is ready to be mounted directly to an end application PCB. The potential benefits of FOWLP are numerous. This technology provides the smallest and lightest possible form factor for packaging small, high IO chips that cannot be packaged as WLCSPs. As with WLCSPs, the deviceto-board connections through thick copper routing layers and large solder balls offer excellent electrical properties and performance. When hitting acceptable cost targets, FOWLP can potentially displace other forms of packaging, such as flip chip or wirebond BGAs. In those cases, it generally brings a size advantage and eliminates the need for custom substrates, significantly simplifying the supply chain. Finally, FOWLP enables the connection of two or more chips in the fan-out routing layer, facilitating multichip and system-in-package (SIP) applications [4-7]. Cost, yield and reliability issues have effectively limited the widespread adoption of FOWLP despite its promise. Placing singulated chips on the carrier to form the molded panel requires high placement accuracy. Any misplacements can lead to pattern overlay difficulties in the buildup process on the reconstituted panel. The requirement for high placement accuracy restricts throughput at the pick-and-place operation, leading to high process costs. During the molding operation and mold cure, die drift or movement can occur. This die drift can further complicate pattern overlay matching in the buildup process on the panel and can result in yield loss when the drift is excessive. Addressing or overcoming die offset and resulting overlay issues is one of the keys to making FOWLP competitive with other package formats. Other challenges are posed by performing the fanout routing over a surface which is partially composed of silicon and partially of mold compound. These challenges are illustrated in Figure 1 for a conventional FOWLP structure. During the molding process, mold can seep in under the edge of the face-down die. If this mold flash extends far enough, it can cover bond pads and result in yield loss. The discontinuity posed by the transition between the silicon chip and the mold compound at the die surface can result in a severe topography step which is difficult to route over with the RDL, even with substantial smoothing of a base polymer layer. Finally, the CTE mismatch between the silicon and the mold compound can result in stress in the fanout RDL structure and potential fracture of the RDL during reliability stressing. Figure 1. Illustration of a conventional FOWLP structure, showing the transition zone between silicon and mold compound on the package front surface This paper discusses two approaches for addressing several of the key issues associated with conventional FOWLP technology. By creating a fully molded structure, where mold compound protects the front surface of the die, the issues cited above associated with the silicon mold transition are eliminated, resulting in a more robust package. A second enabling technology, called Adaptive Patterning, allows die offset to increase by an order of magnitude compared with conventional methods and can facilitate tighter ground rules, higher yield, and lower overall costs. Taken together, the two approaches offer strong potential to overcome basic issues associated with FOWLP technology and enable wide spread adoption. FULLY MOLDED FOWLP STRUCTURE A fully molded FOWLP structure is illustrated in Figure 2. In this approach, Cu pillars are fabricated at IO locations on the native semiconductor wafer prior to the panelization process. Then, during panelization, the front and sides of the die are covered with mold compound, with the Cu pillars providing current pathways through the mold on the front die surface. Next, buildup processing is performed on the front panel surface with interconnection between the exposed Cu pillars and a bump array. Finally, an epoxy laminate is applied to the backside of the panel to fully encase the die in epoxy. Figure 2. Illustration of fully molded FOWLP structure The process flow for the fully molded FOWLP is shown in Figure 3. In the first segment of processing, termed Wafer Prep, Cu pillars are fabricated on the native wafer

by sputter-depositing seed layers, patterning a thick photoresist, plating Cu pillars, and then performing strip and etch of the photoresist and seed layers. In the second Panelization segment, the chips are singulated and attached to a carrier face up. Overmolding is applied, and the newly formed panel containing the chips is debonded from the carrier. The panel is then front ground to reveal the Cu pillars. Finally, an optical scanner is used to measure the location of each die on the panel, to enable the Adaptive Patterning technique described in the next section. Following Panelization, Fan-out processing is performed on the molded panel. This includes patterning and curing a polymer layer, patterning and plating Cu RDL, processing a second polymer layer, and, optionally, patterning and plating UBM pads. Ball drop and reflow are then performed. Finally, panel backgrind is performed and a backside laminate is applied, followed by laser mark, package saw, and tape and reel. packaging processes [8]. For the fully molded FOWLP structures described here, the Adaptive Patterning process works by dynamically adjusting a portion of the interconnect structure to accurately connect to the Cu pillars protruding through the mold compound for each individual die in the molded panel. The flow for Adaptive Patterning is summarized in Figure 5. At the end of the Panelization process, an optical scanner is used to inspect the Cu pillar protruding through the mold compound for each die, to determine the actual position and rotation of every die on the panel with respect to the ideal design frame of reference. A proprietary design tool adjusts the fan-out unit design for each package on the panel so that the first via layer and fan-out RDL pattern are properly aligned to the pillars on the die. The design files for each panel are imported to a lithography machine which uses the design data to dynamically apply a custom, Adaptive Pattern to each panel. An example structure built using a fully molded FOWLP process flow is shown in Figure 4. The chip has been completely encased in epoxy, forming a robust package, and the discontinuity at the die edge which exists on conventional FOWLP structures has been eliminated. Figure 3. Fully molded FOWLP process flow Figure 5. Adaptive Patterning flow Cu pillar Si die Cu RDL Solder ball Mold compound The process for creating the Adaptively Patterned via and fan-out RDL layers is further illustrated in Figure 6. First, a nominal fan-out RDL design is created. Then, a partially routed RDL layer called a prestratum is formed by omitting a small portion of the RDL layer in close proximity to the Cu pillars. After scanning the panel to measure the actual position and orientation of each unit, the design of each unit on the panel is completed to connect the prestratum pattern to the Cu pillar pads and their corresponding dielectric vias. The adaptive region in which the RDL traces are allowed to dynamically change is typically on the order of 100um to 200um. Backside laminate Figure 4. Cross-section of a fabricated fully molded FOWLP ADAPTIVE PATTERNING A method called Adaptive Patterning has been developed to correct for die shifts inherent in chips-first, panelized

The completed fully routed package is shown in Figure 9. Figure 6. Process for creating Adaptively Patterned via and fan-out RDL layers TEST VEHICLE AND PACKAGE RELIABILITY One of the test vehicles being used to validate the fully molded FOWLP approach is shown in Figure 7. The die is a 3.0 3.0mm 2 test chip, with aluminum pads strategically connected to form daisy chains in the completed package. The fully molded FOWLP is 4.1 4.1mm 2, with a body thickness of 380um. The finished package contains 72 balls on a 0.4mm pitch. Nets are available for open-short testing or for completing the daisy chains on the board side, allowing for real time monitoring during board level reliability testing. Figure 9. Routed, fully molded FOWLP Figure 10 illustrates the effectiveness of Adaptive Patterning in completing the routing on this device. In Figure 10(a), the routing in the upper right hand corner of the package is shown, for a die that was offset from the original design position by -4.4um in X, +5.7um in Y, and -0.01 rotation error. Figure 10(b) shows the same corner for a die offset by +8.2um in X, -21um in Y, and +0.13 degrees. The routing near the Cu pillars has been dynamically adjusted to account for the die shifts, resulting in good alignment in both cases. Figure 7. FOWLP test vehicle: 4.1 4.1mm 2, with 72 balls on a 0.4mm pitch The test vehicle after Panelization and prior to Fan-out routing is shown in Figure 8. In a macroscopic view, the Cu pillars can be seen protruding through the mold compound but the die are also partially visible through the thin mold compound layer. In the microscopic view, only the pillars and the corner fiducials are clearly visible. (a) (b) Figure 10. Effectiveness of Adaptive Patterning in correcting for die offset: (a) offset from design position by -4.4um in X, +5.7um in Y, and -0.01 degrees in rotation; (b) offset by +8.2um in X, -21um in Y, and +0.13 degrees in rotation. Package level reliability testing has been completed on this part, and the results are shown in Figure 11. The part has passed HTS, unbiased HAST, and Temperature Cycling, with MSL3 preconditioning. Board level reliability testing is currently underway. Figure 8. Test vehicle after Panelization and prior to Fan-out routing

accumulated per cycle, a value for the thermal cycle lifetime was derived. Package Center Package Center ¼ model simulated due to symmetry N = C 1 (SED) C2 Package Center Figure 11. Package qualification results for 4X4mm 2 0.4mm pitch 72 IO test vehicle MODELING WORK Modeling work was performed to predict the thermal cycling performance of the fully molded FOWLP. The test vehicle assumed in the modeling work is shown in Figure 12. This was an 8X8mm 2 FOWLP with 324 IOs on a 0.4mm pitch. The packages were assumed to be mounted to 1mm thick printed circuit boards (PCBs) with non-solder-mask defined PCB pads. Standard JEDEC conditions were assumed for the temperature cycling (method G: -40 to 125 C, 1 cycle per hour) [9]. Critical joint under die corner Strain Energy Density (SED) Distribution Critical top solder / UBM layer (potential failure site) Figure 13. ANSYS model used to simulate 8X8mm 2, 0.4 mm pitch, 324 ball fully molded package Results of the modeling work are shown in Figure 14, where the strain energy density of the corner bump on the package side is shown. Despite the large size of the package, the modeling predicts a first failure of 970 cycles. The mold compound on the front of the die in the fully molded FOWLP increases the mean coefficient of thermal expansion (CTE) of the package, thus reducing the CTE mismatch between the component and PCB and increasing the thermal cycling life. Figure 14. Strain energy density of the corner bump on the package side and the predicted thermal cycling life for the 8X8mm 2 fully molded package. Figure 12. 8X8mm 2 0.4mm pitch 324 IO test vehicle An ANSYS model, illustrated in Figure 13, was constructed to simulate the thermal cycling performance of the test vehicle. Symmetry was used to reduce the model to ¼ of the package size. For thermal cycling, the critical joint is at the corner bump, which is the furthest bump location from the neutral point, the package center. The strain energy-density-distribution (SED) for the corner bump can be used to predict the thermal cycle lifetime of the part [10,11]. By determining the SED CONCLUSIONS Two new methods have been described for Fan-Out Wafer-Level Packaging: (1) a fully molded structure, with mold compound covering the active surface of the die and eliminating the die edge discontinuity found in the conventional approach; and (2) Adaptive Patterning which electronically compensates for the die shifts inherent in FOWLP technology. The two approaches can together address the key manufacturability, yield, and reliability issues that have limited widespread adoption of FOWLP technology. Additionally, running M-Series panels through Deca s unique wafer level foundry enables a cost-effective flow. The modeling work suggests that a fully molded FOWLP can provide good thermal cycling life, even at large package sizes. Actual board level

cycling and drop tests will be the subject of follow-on work. ACKNOWLEDGMENT The authors would like to acknowledge SMARTs Enterprise LLP for its assistance in performing the simulation work in this study. The authors also would like to thank members of the Deca team for their help in collecting the data for this study. *Adaptive Patterning TM by Deca Technologies, Inc. REFERENCES [1] Anderson, R., et. al. Advances in WLCSP Technologies for Growing Market Needs, IWLPC Proceedings, Oct. 2009. [2] Brunnbauer, M. et. al., Embedded Wafer Level Ball Grid Array (ewlb), Electronics Packaging Technology Conference 8 th Proceedings, Dec. 2006. [3] Keser, B. et. al., The Redistributed Chip Package: A Breakthrough for Advanced Packaging, 2007 Electronic Components and Technology Conference, pp. 286-291, [4] J. Sabatini, GE s High-Density Overlay Technology, Surface-Mount Technology. Vol. 6, No. 3. Mar. 1992, pp. 18-19. [5] Daum, W. et. al., Overlay High-Density Interconnect: A Chips-First Multichip Module Technology, Computer, vol. 26, no. 4, April 1993, pp. 23-29. [6] Meyer, T. et. al., ewlb System in Package Possbilities and Requirements, IWLPC Proceedings, Oct. 2010, pp. 160-166. [7] Kang, I.S, et. al., Wafer Level Embedded System in Package (WL-ESiP) for 3D SiP Solution, IWLPC Proceedings, Oct. 2010, pp. 153-159. [8] C. Scanlan et. al., Adaptive Patterning for Panelized Packaging, IWLPC Proceedings, Nov. 2012. [9] JEDEC Standard JESD22-A104C, Temperature Cycling, 2005. [10] R. Darveaux et. al., Reliability of Plastic Ball Grid Array Assembly, Ball Grid Array Technology, J. Lau Editor, McGraw-Hill, New York, 1995, pp. 379-442. [11] R. Darveaux, Effect of simulation methodology on solder joint crack growth correlation, 50th ECTC Conf. Proc., 2000, pp. 1048-1058.