If it moves, chop it in half, then simulate it

Similar documents
Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Impact of Litho on Design

Mask Defect Auto Disposition based on Aerial Image in Mask Production

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

StarRC Custom Parasitic extraction for next-generation custom IC design

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

IC Compiler Comprehensive Place and Route System

Towards cost-effective and low defectivity DSA flows for line/space patterning

Lithography options for the 32nm half pitch node. imec

13. Back-End Design Flow for HardCopy Series Devices

Lect. 2: Basics of Si Technology

Exam 1 Friday Sept 22

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Frontend flow. Backend flow

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Physical Level Design using Synopsys

IC Fabrication Technology Part III Devices in Semiconductor Processes

Review of CMOS Processing Technology

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

Inspection Facilities and Specifications for Automatic Optical Inspection Machines from DCB Automation

W Metallization in a 3-D Memory

Sharif University of Technology Introduction to ASICs

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS:

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Lecture 1A: Manufacturing& Layout

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Hybrid BARC approaches for FEOL and BEOL integration

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

Test Patterns for Chemical Mechanical Polish Characterization

Lecture 2. Fabrication and Layout

PrimeTime Mode Merging

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EUV Mask Defect Reduction : Status and Challenges

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

ASML - A strong company on a growth trajectory

HYPRES. Hypres MCM Process Design Rules 04/12/2016

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Fabrication and Layout

Complementary Metal Oxide Semiconductor (CMOS)

Microfabrication of Integrated Circuits

Understanding. Brewer Science

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Chapter 14. Designing with FineLine BGA Packages

Modeling of Local Oxidation Processes

Overview. Design flow. Back-end process. FPGA design process. Conclusions

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

Key Technologies for Next Generation EUV Lithography

EUV Masks: Remaining challenges for HVM

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com.

Customer Support: Leveraging Value of Ownership

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Process Flow in Cross Sections

AN 453: HardCopy II ASIC Fitting Techniques

Motorola MPA1016FN FPGA

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

System cost and efficiency optimization by heat exchanger performance simulations

Advanced developer-soluble gap-fill materials and applications

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar.

Fill for Shallow Trench Isolation CMP

EUV Products and Business Opportunity

EUV Products and Business Opportunity

Modeling for DFM / DFY

5. Packaging Technologies Trends

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Cu electroplating in advanced packaging

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Cu/low κ. Voids, Pits, and Copper

Complexity of IC Metallization. Early 21 st Century IC Technology

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

An Effective Legalization Algorithm for Mixed-Cell-Height Standard Cells

14. Designing with FineLine BGA Packages

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Cost of Integrated Circuits

2005 ANNUAL REPORT 2005 ANNUAL REPORT

Chapter 2 Manufacturing Process

Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers

Bayesian interval dose-finding designs: Methodology and Application

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

Advisor5Good Reasons to Move Forward

Industry Roadmap and Technology Strategy

Section II. HardCopy Design Center Migration Process

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

49. MASS BALANCING AND DATA RECONCILIATION EXAMPLES

Transcription:

Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys Vincent Wiaux, Staf Verhaegen IMEC, Leuven, Belgium If it moves, chop it in half, then simulate it Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys Vincent Wiaux, Staf Verhaegen IMEC, Leuven, Belgium 1

Double Patterning additive 1 st trench imaging Hard Mask etch Resist/BARC strip Resist BARC HM LowK Etch stop. 2 nd trench imaging Hard Mask etch Resist/BARC strip transfer to dielectric 26 Synopsys, Inc. (3) See V. Wiaux 6924-8, next session Outline DPT Lithography & Process Window DPT Mask Synthesis DPT Physical Design Conclusions 26 Synopsys, Inc. (4) 2

Lithography considerations @22nm Need 3-35nm etched CD ~7nm min final pitch Need highly controlled 14nm pitch single exposure litho PW budget: 5% EL, 1nm DOF RET? No space for retargeting or AFs Need litho sizing + etch downsize Patterning strategy: Poly: ~45nm litho CD (~32nm gen) Cont: ~55nm litho CD (~32nm gen) M1: new resist or ~6nm litho CD All layers require 15nm+ etch shrink Standard for Poly, new for Cont & M1 26 Synopsys, Inc. (5) Min allowed space vs. # DPT conflicts nm upsize 5nm upsize 1nm upsize 15nm upsize Upsizing reduces single exposure litho space. Creates more DPT interactions & conflicts. 26 Synopsys, Inc. (6) 3

DPT litho/etch topography 1: n16_1_polysi_depo.tdr 2: n16_2_hm_depo.tdr 3: n16_3_arc_depo.tdr 4: n16_4_resist1.tdr.1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6 5: n16_6_trim1.tdr 6: n16_7_arc1.tdr 7: n16_8_hm.tdr 8: n16_9_strip.tdr.1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6 9: n16_1_lpcvd.tdr 1: n16_11_reflow.tdr 11: n16_12_resist2.tdr 12: n16_13_trim2.tdr Y[um m] Y[um m] Y[um m] Y[um m].1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6 13: n16_14_arc2.tdr 14: n16_15_poly.tdr 15: n16_16.tdr 26 Synopsys, Inc. (7).1.2.3.4.5.6.1.2.3.4.5.6.1.2.3.4.5.6 Planar vs. Non-planar results Litho2 no Etch1 pattern CD bottom 55nm Litho2 over Etch1 pattern CD bottom 57nm+ 26 Synopsys, Inc. (8) 4

DPT Mask Synthesis 26 Synopsys, Inc. (9) DPT Decomposition/OPC/Verify Functions: decomposition (split & color), RET, OPC and error identification. High yield Split creates OPC/RET friendly layouts OPC creates yield friendly wafer patterns through overlay, focus, & dose variations Very high accuracy/predictive OPC models Symmetry, density uniformity Fast turnaround Limits complexity/iterations in decomposition algorithms Must successfully convert all DPT compliant designs 26 Synopsys, Inc. (1) 5

Logic contact split example Green lines show network of features to be decomposed Red lines show coloring violations where redesign is necessary. 1D arrays, 2by2 arrays, on grid contact are DPT friendly. 26 Synopsys, Inc. (11) Smart Coloring for Violations Line-end control critical Overlap quality Decomposition must ensure OPC can meet very tight accuracy tolerances - line-end control now critical 26 Synopsys, Inc. (12) 6

Yield & process window issues Pinch, sharp corner, overlay sensitive 26 Synopsys, Inc. (13) Std. round corner current density 22nm node Cu feature, max J ~1.2 26 Synopsys, Inc. (14) 7

DPT square corner current density 22nm node Cu feature, max J ~2.3 J is ~2X higher with sharp corners. Black s electromigration Eq.: Mean time to failure (MTTF) can decrease by 3-4X! Local stress at sharp corner could further accelerate failure. 26 Synopsys, Inc. (15) Decomposition algorithm differences Basic approach More complex algorithm DRC violation Small poorly printed polygon Asymmetric with printing issues High # of cuts, risky overlaps Symmetric, fewer cuts, easier to OPC -> better yield 26 Synopsys, Inc. (16) See C. Cork 6925-62, thurs. PM 8

Need for model based decomposition - Sometimes need model to predict and avoid errors MRC limits OPC at LE Looks fine after split but has error on wafer Split Option 1 DPT-aware OPC Looks bad after split but is good on wafer Split Option 2 26 Synopsys, Inc. (17) DPT physical design flow 26 Synopsys, Inc. (18) 9

Double Patterning in Design Flow Design Task Std cell creation & characterization Custom layout Place & route Tapeout signoff (DRC) Goals Minimize cell width Maximize timing/leakage g performance No design rule or DPT violations Minimize area No design rule or DPT violations Maximize routability No design rule or DPT violations No design rule or DPT violations in final design 26 Synopsys, Inc. (19) DPT standard cell generation flow GDS Layout Std cell tool GDS Import Layout Rules External analysis DPT Decomp Tool Error Reports FixRule 1 FixRule 2 FixRule N Tune Layout Std cell Setup Design Rules Corrected Layout 26 Synopsys, Inc. (2) 1

DPT Correction Flow Example: aoi22x1 with 2 METAL1 conflicts Source GDS GDS analyzed for conflicts 2 Conflicts on METAL1 found Pass 1 Conflicts corrected and cell optimized Corrected GDS 1 New Conflict Pass 2 Conflicts corrected and cell optimized Corrected GDS POLY & METAL1 DPT Analysis Results 26 Synopsys, Inc. (21) DPT standard cell library migration Took a traditional standard cell library and converted to a DPT clean library Made reasonable min-space assumptions for DPT 7 unique standard cells Compared cell area before and after conversion Results: Area shrunk ~1% after conversion (!?) 2 possible reasons A) DPT avoids halation rules min space OK B) Compaction engine improvements since library created Initial conclusion: Area increase is small inside Std cells 26 Synopsys, Inc. (22) 11

DPT hot spots in routed metals - typically metal2+ but also on metal1 Different circuit portions on Metal2 internally designed layout Simpler odd-cycle DPT hot Spots can be automatically ti detected using rules. Most can be eliminated by slightly modifying the design rules. This type of error accounts for ~7% of errors in routed metal. 26 Synopsys, Inc. (23) Complex DPT routing hot spots Those situations are too complicated for rules to detect. Must be found using DPT checks. These are passed to auto-fix routine for local jumper or ripup and reroute. These represent ~3% of errors in layouts analyzed. 26 Synopsys, Inc. (24) 12

Example: jumper to avoid DPT conflict Metal2 DPT conflict found & jumper section identified. Metal3 landing gpads & vias placed Part of line causing odd cycle in Metal2 was removed using modified DFM auto-fix flow. 26 Synopsys, Inc. (25) Example: jumper to avoid DPT conflict -2 New Vias and connection inserted in Metal4 Metal2 DPT conflict removed by jumper This DPT conflict disappears but others remain to be fixed. 26 Synopsys, Inc. (26) 13

Summary and Conclusions Litho CD control requires significant upsizing of target litho patterns Implications for resist, etch & DPT friendly layout DPT has new circuit failure modes to control E.g., corner rounding, overlap & line-end position Complex algorithms improve area, effort & yield E.g., symmetry, error reduction, model-based decomposition Need alignment of DPT methods in design & in mask synthesis to avoid DPT issues being found only at mask data verification. Demonstrated automated DPT clean layout creation 26 Synopsys, Inc. (27) Acknowledgements Ben Painter, Martin Drapeau, Brian Ward, Stephen Jang, Xiaopeng Xu: Synopsys y Eric Hendrickx: IMEC Will Conley: Freescale 26 Synopsys, Inc. (28) 14

Interesting backup slides if time 26 Synopsys, Inc. (29) 3 color DPT? C. Cork. PMJ 28 26 Synopsys, Inc. (3) 15

Tone Inversion Example SRAM Positive Tone Many Coloring Violations Negative Tone No coloring violations Remapping the layout problem can solve DPT errors 26 Synopsys, Inc. (31) DPT friendly Std cell boundaries Placed Std cells are DPT friendly if: - layers are gridded and single CD at boundary, OR - single color is < ½ min single expose space to boundary, OR - only left or right has multiple colors near boundary 26 Synopsys, Inc. (32) 16

DPT friendly Place & Route flow Std P&R layout DPT Prevention Rules ICC Detail route Metal fill For each ICC Switch Box Local DPTdecomposition (skip if 1 st time) For each DPT Hot Spot Break Odd cycle by removing part of line DPT Error Rules Yes Try to find a Jumper No ICC Convergence Report Full chip DPTdecomposition ICC Switch Box Mark for Reroute 26 Synopsys, Inc. (33) 17