TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

Similar documents
IC Integrated Manufacturing Outsourcing Solution

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

DFM Challenges and Practical Solutions in 65nm and 45nm

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

New Materials as an enabler for Advanced Chip Manufacturing

Chapter 4 Case Study

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest

EDA Technologies Fueling IoT Implementation, Current and Future

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering

Narrowing the Gap between Packaging and System

ASIC Physical Design CMOS Processes

Hermes Microvision, Inc.

Hermes Microvision, Inc.

Hermes Microvision, Inc.

Hermes Microvision, Inc.

Hermes Microvision, Inc.

How to make THE difference in power management architecture

LTX-Credence Investor Presentation

Graser User Conference Only

Sharif University of Technology Introduction to ASICs

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Hermes Microvision, Inc.

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

High Volume Signal and Power Integrity Design for ASICs

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

July 11, Axcelis Technologies, Inc.

3DS IC International Standards SEMI 3D IC Standards Program

How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017

Tensoft SemiOps. SaaS Solutions for the Semiconductor and Sensor Industries including IC and Module Businesses

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems

Design Flow Architecture and Statistical Sizing Methods Integration in STMicroelectronics Non Volatile Memory and Automotive Flows.

Alternatives to Vertical Probing

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Agile value chain for medium volumes, custom MEMS, manufacturing, packaging and integration Vincent Gaff, Tronics Microsystems, France

Click to edit Master title style

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

Hafnium -based gate dielectrics for high performance logic CMOS applications

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Redox-Active Molecular Flash Memory for On-Chip Memory

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

Used Semiconductor Manufacturing Equipment Market Study: Shift to 300mm Creates 200mm Opportunities. Study Number: MA107-08

CMOS Processing Technology

The 3D Silicon Leader

Chapter 2 Manufacturing Process

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

IC Cost and Price Model User Manual Version 2019 model Introduction Model description Support and updates

CMOS Processing Technology

1.0μm 40V HV 1.0 Micron 40V High Voltage CMOS Technology for high voltage Product Applications

VLSI Design and Simulation

STMicroelectronics Q Financial Results. October 24, 2018

Mask Defect Auto Disposition based on Aerial Image in Mask Production

ASML - A strong company on a growth trajectory

JS-002 Module and Product CDM Result Comparison to JEDEC and ESDA CDM Methods

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies

Lehman Brothers Global Technology Conference. December 2007

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

If it moves, chop it in half, then simulate it

Overview. Design flow. Back-end process. FPGA design process. Conclusions

StarRC Custom Parasitic extraction for next-generation custom IC design

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

Technical Viability of Stacked Silicon Interconnect Technology

Credit Suisse Technology Conference

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

NANOMANUFACTURING TECHNOLOGY

DELTA Microelectronics

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Cost of Integrated Circuits

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Early Prediction of Product Performance and Yield Via Technology Benchmark

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING. Jacky Tung Tina Sheen Merlin Kao C.H.

MoSys Corporate Overview

Huawei Technologies, Inc.

Manufacturing Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

Impact of Litho on Design

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

System Level Design and Simulation for Heterogeneous Integration

Complementary Metal Oxide Semiconductor (CMOS)

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Challenges and Solutions in Modeling and Simulation of Device Self-heating, Reliability Aging and Statistical Variability Effects

FABRICATION of MOSFETs

SRC Research Needs in Logic and Physical Design and Analysis. August 2002

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

GaN on Si Manufacturing Excellency in CMOS Foundry Fab

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services

Transcription:

ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC

2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

3 Fabless Requirements Prior to Risk Production Phase: 1st Si success for design win Competitive Technology Selection Accurate SPICE Model High Quality IP Volume Production Phase: Gain MSS Fast Ramp and Reliable Supply Performance and Yield Improvement Ample Capacity

4 Design Enablement for 1 st Si Success Fabless Process for Product NTO Product Spec. Definition Close Collaboration between Fabless and Foundry Foundry Support to Facilitate Product Success Technology Selection Comprehensive Process Offerings Library buildup DRM (RDR) Insertion Post-sim., & Timing Analysis DFM & Accurate SPICE IP Validation IP Quality Management System & Cybershuttle Product Tape-out One Stop Shopping w/ Mask, Si, and Backend

5 TSMC CMOS Technology Platforms <20nm FinFET 20nm 28nm 2P2E; M0 HK/MG Low-R 40nm 65/55nm 90/80nm ESF3 Automotive Immersion Cu/ELK Strained Silicon 12 BSI 0.13/0.11µm Cu/LK 8 BSI 0.18/0.15µm 0.25µm ESF1 Automotive 0.35µm >0.5µm Expanding Functionality Expanding Functionality MEMS Embedded Flash (MCU) Embedded DRAM Analog Logic Available RF Planned Power IC- BCD High Voltage CMOS Image Sensor For reference only; Subject to change without notice by TSMC

6 Challenges for 1 st Si Success Particularly in Advanced Technology Nodes SPICE model accuracy Variation increases with technology scaling Device structure innovation to reduce random variations RDR (restrictive design rule ) and DFM (design for manufacturing) to reduce layout style related variations IP quality assurance IP quality is one of the key concerns for customers IP quality management system to allow easy access of high quality IPs CyberShuttle support to validate key IPs with Si

7 Technology Scaling Larger Variation; Smaller Manufacturing Window Less design margin to start with 1.000 NMOS Ion/Ioff Plot 1.000 NMOS Ion/Ioff Plot 0.100 Isoff 0.100 0.010 Isoff 0.010 0.001 Isat_SS (model) Idsat Isat_FF (model) 0.001 Idsat 90nm, 65nm, 40nm, 28nm, 20nm,

8 Random Variation Reduction Device Structure 35 Vt(au.) 30 25 20 15 Planar SiON/Poly Planar HK/MG σv t T 4 ox W N a L σ 10 FinFET 5 0 0 5 10 15 20 Scaling

9 Restrictive Design Rules (RDR) Reduce Layout Style Related Variability 28nm RDR Style OD PO OD PO OD PO PO OD PO PO OD PO Process Variation 65nm SiON 40nm SiON 28SiON No RDR 28HKMG No RDR 28HKMG RDR

10 DFM Solutions for Product Performance DFM-rule should be used to improve product performance Disallow J Table 1: Idsat variation as a function of J OD Ploy The effective OD width could vary because of the OD rounding effect when the poly to OD spacing is small

11 SPICE Modeling Limitation Layout pattern complexity increases SPICE model simulation variability RDR and DFM significantly reduce simulation vs silicon gap x% 0% Simulation vs Silicon Gap Restricted Layout -x% Layout Patterns Style

12 Major Challenges in IP Usage Source : IC Manage global design management survey April, 2011 Quality Is Key Concern

13 IP Quality Management System Robust IP Quality Check/Management to Lower IP Usage Risk Ecosystem IP DRC/LVS ESD Silicon Report TSMC-Online TM DDR USB SATA DSP SRAM DAC TSMC IP QA System Customer Final Design Data Tape Out Consistency Checks Tape Out ADC Others LVDS MCU IP Dev. Production History Design Margin Data Consistency IP Quality Check IP Quality Score & Usage IP Tag Check for Tape Out Quality

DUT6_STD_2C-PR DUT12_STD_DM 14 LC Tank Model=> Accuracy and Schedule Request from high Speed SerDes and PLL PDK with P-cell for Varactor and FMOM Customized Inductor For design 14 12 10 Q-Factor 8 6 4 2 0 0 5 10 15 20 25 30 frequency (GHz) Customers Successful Design Generate Layout and Tape out System simulation In Customer site

15 HKMG Analog Layout Guidance HKMG layout considerations for sensitive analog blocks Device mismatch induced by gate density Gaps between simulation and silicon Foundry & EDA collaborated solutions based on HKMG layout guidance in sensitive regions Surrounding patterning and gradient insertion feature Gradient density analysis tool Verification tool to check against golden data

16 CyberShuttle for IP Validation TSMC CyberShuttle has successfully verified more than 12,000 devices

17 First Silicon Success TSMC optimizes technology and manufacturing to deliver high first silicon success rate 100.0% TSMC NTO Success Rate 99.8% 99.6% 99.4% 99.2% 99.0% 98.8% 2007 2008 2009 2010 2011 2012.3

18 Manufacturing Excellence to Serve Customer Demand Giga fab and integrated mask-wafer-backend service drive fast ramping and reliable supply Persistent technology optimization to improve performance and yield Continue in Capex investment to support required capacity

19 Benefits of GIGAFAB GIGAFAB manufacturing scale improves ramping speed and delivery precision control Effective Capacity Ramp-up Agility Fast Cycle time GIGAFAB P1 P2 P3 P4 Delivery Precision Cost Effectiveness

20 Synchronization Drives Manufacturing Efficiencies & Fast Time to Market Increase production efficiencies Centralized production control and Integrated CIM Assure fast time-to-market Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Manufacturing Synchronization

21 Cycle Time Improvement Cycle time is one of the keys to enable fast time to market Days/Layer 1

22 Productization for Advanced Nodes Cost and complexity increase significantly with technology scaling Shortening product T/O to volume production enables better ROI for Foundry and Customers (Starting point: wafer output > 1K)

23 Optimizing Technology Processes for Better Yield and Performance Product Engineering Feed backward for faster yield learning Feed forward for higher yield Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Technology Optimization

24 D 0 Improvement Drive D 0 reduction relentlessly even facing increased technology complexity

25 Product Grade Improvement 28nm Customer collaboration achieves continuous speed and power improvements through process/device optimization Product grade improvement methodology keeps customer s products competitive 28HP Speed improvement 28LP IDDQ IDDQ reduction IDDQ IDDQ reduction Speed Speed

26 Synergy of Mask & Wafer Technology Mask and wafer process technologies are developed together for optimized result Synergistically developing OPC, mask and silicon processes ensures tape out success and high yields Feedback & Iteration for Optimized Result GDS OPC* Mask Wafer Making Printing Yield Test Production * OPC : Optical Proximity Correction

27 Integrated Si and Backend Service Si foundry with integrated bump and CP service, extendable to turnkey, offer customers: Simplified supply chain without bump capacity matching issue Consistent bump and test quality Shortened cycle time OSAT A Bump Si Wafer Foundry OSAT B Bump OSAT C Bump Integrated Wafer, Bump, & CP Service Wafer Bump OSAT CP OSAT CP CP OSAT A Ass y+ft OSAT B Ass y+ft OSAT C Ass y+ft OSAT A Ass y+ft OSAT B Ass y+ft OSAT C Ass y+ft

28 Integrated Manufacturing Value Faster Responsiveness Higher Product Grade Better Quality One-stop Ownership Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Integrated Manufacturing One- Stop Ownership

29 Summary Bridging the Fabless-Foundry gap to achieve timeto-market with the best performance/watt/cost Manufacturers and designers to work closer than before almost like IDM Early engagement on technology selection, structured layout/ RDR, and early Si validation of critical circuits Close collaboration in the development of circuits with performance/power optimization that adapt to manufacturing variation Collaboration should extend to production phase for high MSS Foundry Integrated Manufacturing Value fast responsiveness, higher product grades, better quality, and one-stop ownership