Modeling for DFM / DFY

Similar documents
DFM Challenges and Practical Solutions in 65nm and 45nm

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Review of CMOS Processing Technology

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

4th Annual SFR Workshop, Nov. 14, 2001

EE 434 Lecture 9. IC Fabrication Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

CMOS Processing Technology

CMOS Processing Technology

Lect. 2: Basics of Si Technology

ASIC Physical Design CMOS Processes

半導體生產自動化與 智慧工廠 UMC/IT 王邦明 5/22/2012

Czochralski Crystal Growth

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Department of Electrical Engineering. Jungli, Taiwan

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Lecture 22: Integrated circuit fabrication

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Impact of Litho on Design

EE 330 Lecture 9. IC Fabrication Technology Part 2

EUV Products and Business Opportunity

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

2006 UPDATE METROLOGY

EUV Products and Business Opportunity

Amorphous and Polycrystalline Thin-Film Transistors

TECHNOLOGY ROADMAP 2005 EDITION FACTORY INTEGRATION FOR

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

SEMI Networking Day 2013 Rudolph Corporate Introduction

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Chapter 2 Manufacturing Process

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Cu/low κ. Voids, Pits, and Copper

FOR SEMICONDUCTORS 2007 EDITION

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Fabrication and Layout

Laser Spike Annealing for sub-20nm Logic Devices

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Test Patterns for Chemical Mechanical Polish Characterization

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Fabrication and Layout

2005 ANNUAL REPORT 2005 ANNUAL REPORT

Physical Level Design using Synopsys

Chapter 3 Silicon Device Fabrication Technology

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

MOS Front-End. Field effect transistor

MODELING LOT ROUTING SOFTWARE THROUGH DISCRETE-EVENT SIMULATION. Chad D. DeJong Thomas Jefferson

Mask Defect Auto Disposition based on Aerial Image in Mask Production

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION FOR

If it moves, chop it in half, then simulate it

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Early Prediction of Product Performance and Yield Via Technology Benchmark

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

The History & Future of

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

TGV and Integrated Electronics

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

ECE321 Electronics I

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

StarRC Custom Parasitic extraction for next-generation custom IC design

Be a step ahead! IT your fab! Company Presentation

Process Control and Yield Management Strategies in HBLED Manufacturing

Chemical Mechanical Planarization

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Cost of Integrated Circuits

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Complementary Metal Oxide Semiconductor (CMOS)

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

SEMICON Japan. e-manufacturing Workshop Introduction. Harvey Wohlwend Harvey.Wohlwend ismi.sematech.org

Customer Support: Leveraging Value of Ownership

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Advanced Metrology for Copper/Low-k Interconnects

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Digital VLSI Design. Lecture 1: Introduction

9/4/2008 GMU, ECE 680 Physical VLSI Design

New Materials as an enabler for Advanced Chip Manufacturing

NANOMANUFACTURING TECHNOLOGY

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Manufacturing process. Design rule set

EUV Masks: Remaining challenges for HVM

Silicon Wafer Processing PAKAGING AND TEST

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales

Sharif University of Technology Introduction to ASICs

行政院國家科學委員會補助專題研究計畫成果報告

Lecture 0: Introduction

VLSI Design and Simulation

CMP Applications and Issues Part 3

Problem 1 Lab Questions ( 20 points total)

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Transcription:

Modeling for DFM / DFY A Foundry Perspective Walter Ng Senior Director, Platform Alliances 5/24/07 2006 Chartered Semiconductor Manufacturing Ltd. All rights reserved. No part or parts hereof may be reproduced, modified, adapted, distributed, republished, displayed, broadcast, transmitted in any manner or means or stored in an information retrieval system without the prior written permission of Chartered Semiconductor Manufacturing Ltd.

The Great Divide Before n n1 Masses Δt1 Early Adopters n1 > n2 Less Early Adopters Δt2 >> Δt1 Greater Time Separation Now n n2 Masses Δt2 t Early Adopters t 2

The Challenge - Today s Product Cycles Steeper product ramps, shorter dwell in market Product Life Most Product Roadmaps - Obsolete own existing products with improved derivative or new product Volume Missing a Product ramp may have significant impact on a company s market share Typical of consumer applications: Graphics, Gaming, Communications, Networking, etc. < 100 days Time 3

Today s State-of-the-Art Foundries Fab7 Automation Architecture Scheduling Adexa Plant Special Processing (SPSR) Control Systems Preventative Maintenance System Reticle Management System Mfg Reporting System Data Analysis System Yield Reporting System Information Handling IYS Yield Automation Real Time Dispatcher Material Control System (MCS) Automation/ Workflow Manager YMS Recipe Management System ACE XP Alarm & OCAP Management Reporting In-line Planner & APC (DataMart) Defect (JMP) Material Wafer System ET SI View SPC Manufacturing Execution System Mgmt (MES) Control Integrated Sleuth Yield Systems (IYS) dataconductor WIP Eqpt Mgt FDC Run-to- System System System Equipment Carrier Mgt Statistical Fault & Real-time Run-to-Run Flow Mgt (include User Mgt Detection & Yield Mgt Defect Mgt Mgt Run (FOUP) Process Lot Control databases Wafer Sleuth NPW) Classification System System Control (FDC) & (APC) System SPC Control History (KLA-ACE) (KLArity) Business Rules (SPC) ediagnostics System Reporting SPACE Brookside Catalyst KLArity Xsite CIM, SiView MES Database Real-time databases Off-line databasessystem Datamining ACE XP Equipment Integration SPACE Manager (EIM) & Data Collection Material Handling Automation icare Stocker Controller Stocker Inter-bay AMHS Controller Sort & Final Test Data Inter-bay AMHS Intra-bay AMHS Controller Intra-bay AMHS Process Reliability Monitor Data Reticle Stocker (Pod & Bare) Integrated Stocker-Sorter SMS Limited Yield Modeling Load Port Load Port Process Eqpt Load Port OHT Load Port In-line Metrology & inline E-test Eqpt Off-line databases Load Port OHT Load Port Setup Metrology Eqpt Load Port Load Port Support Eqpt Load Port Web Portal Load Port 4

Process Characterization PDF CV Test Chip Suite BEOL BEOL CV CV (CA,M1,Mx,Mx) (CA,M1,Mx,Mx) CA induced M1 short CA induced M1 FEOL short FEOL 2 2 CV CV (AA (AA to to M1, M1, M2, M2, Mx) Mx) Metal CMP Metal CMP MC CA Marginality (Misalign, size, etc.) Printability evaluation MC (M1 CA -> Marginality M3) (Misalign, size, etc.) Printability evaluation Salicide (M1 -> M3) FEOL FEOL 1 1 CV CV (AA (AA to to M1) M1) Topography effects Salicide Poly, AA defectivity Topography effects Printability evaluation (up to Poly, M1) AA defectivity Consumables improvement Printability evaluation (up to M1) CA defectivity (short/open) Poly Poly CV CV (AA (AA and and PC) PC) Consumables improvement Other Systematics (NP Transitions, CA defectivity etc) (short/open) BEOL defectivity Other Systematics (NP Transitions, etc) PC defectivity (incl. triple gate oxide) MC defectivity PC defectivity (incl. triple gate oxide) BEOL defectivity Reduced Defectivity Observability MC defectivity Pull and test after M1 Reduced Defectivity Observability Pull and test after M1 STI topography (and M2) Contact Stacks STI topography (and M2) Contact Stacks STI seam Very Limited Marginaility STI seam pdfastest pdfastest Very Limited Marginaility PC ECD variations Pull and test after M1 PC ECD variations Parametric Parametric (Agilent) (Agilent) Pull Test Test and test after M1 Salicide resistance Salicide resistance pdfastest Printability evaluation (PC) Printability evaluation (PC) Pull Pull and and test test after after M1, M1, Mx, Mx, Mx Mx pdfastest pdfastest pdfastest Parametric Parametric (Agilent) (Agilent) Pull Pull Tester Tester and and test test after after salicide salicide pdfastest pdfastest P D YRS Fab and Test datapower WAMA CV Infrastructu re pdfastest CV test chips pdcv Process Learning Process- Design Integration Knowledge Base Product Design Circuit Surfer YRS pdfx D Build yield infrastructure using PDF CV Characterization vehicles advantages: Short cycle time in process feedback Able to identify process issue Enhance process margin P 5

Design to Manufacturing to Test COT Model Challenge Design Manufacturing Testing RTL Synthesis Design Planning Block Design DFT ATPG Chip Physical Assembly Extraction Verification Mask OPC ORC Prototype Tape out Testing Yield Analysis Failure Analysis Design for Manufacturing / Design for Yield DFT DFT Design to Manufacturing to Test Hand-off Coordination more critical than ever before More Manufacturing and Testing concerns addressed as part of Design DFY / DFM Manufacturing Models being made available to customers Tools and Technologies being validated and customer enabled Manufacturing tools and control improving significantly DFT Traditional Test Insertion done as part of design As a part of Test and Failure Analysis, DFT needs to be able to support Faster Yield Ramps 6

Motivations for DFM Models Chip Designers need to achieve Design Closure Timing, power, signal Integrity and now manufacturability Chip Designers need to be able to predict timing and electrical performance accurately The traditional approach of worst case static-timing analysis is becoming unacceptably conservative Chip Designers have increasing concerns on Types of significant (first order) process variation drivers What are they? How these are measured? How these are modeled? How these process variations are modeled and used or can be used in design automation tools and flows 7

Sources of Process Variation (FEOL) CD Variation Exposure System Lens aberration, flare, etc. Within-die exposure dose non-uniformity Mechanical Performance Vibrations in reticle scanning and wafer scanning stages, etc. Focus Variations Illumination Performance Mask Errors Polarization control of the laser Puncture, Burr, Blotch Resist Effects Line Edge Roughness Vth Variation Mainly due to random dopant fluctuations in the channel region. These fluctuations affect the threshold voltage of the individual devices independently. Pelgrom s model can also be used to describe the standard deviation due to random dopant fluctuations. Overlap Capacitance CGSO/CGDO represent the overlap capacitances between the source/drain and the gate Slide courtesy of Samsung 8

Source of Process Variation (BEOL) Each Layer and Via variation modeling Thickness variation model (CMP effect) Width variation model (Litho effect) CMP/Litho simulation Lithography effect Slide courtesy of Samsung 9

Variation Models radius > die size 4 Die-to-die [4] Effective radius > die size Systematic [1][2] Effective radius < 2-3 mm Random [3] Effective radius < device size 1 2 radius ~ 2-3 mm radius < device size 3 Slide courtesy of Samsung Device model parameters such as Vth, Tox and source/drain sheet resistance are varied to reflect parametric process variation effect on circuits. Less attractive due to growing complexity of the manufacturing process and increased sensitivity of the circuit design to these variations 10

Process Variations in Today s Models Device Level Interconnect Level Process Variation Contributors on interconnect Type Modeling At Present Short term Long term At Present Design Implementation Short term Long term Litho Etch CMP Optical defocus Photo resist Wide Edge Effects (biasing, trapezoidal, dishing, erosion) Dielectric deposition and polishing Systematic Systematic Systematic IA Spec at process corners: Per layer di thickness wctyp-bc Per layer cu thickness wctyp-bc Upgrade IA Spec with WEE table: Replace a single value with a lookup table Litho model for silicon image prediction CMP model for thickness prediction and parasitic extraction Closure at wc & bc corners with OCV derating factors Advance extraction Model base extraction Metal deposition and polishing Systematic Wearing of consumable parts Systematic Statistical model? Statistical analysis 11

DFM Parasitic Extraction (PEX) Extraction of nanometer effects supported by DFM PEX S1 W S2 Metal Metal Biasing (Resistance vs space & width) (bias vs space & width) Trapezoidal metal (Resistance vs space & width) M1 M1 Metal Metal Dishing/Erosion (thickness vs density) (thickness vs space & width) gate Substrate Contact Capacitance (minimum space between gate and via) 12

DFM PEX for 90nm 13

DFM Technology Kit Model Support DFM Focus Area Tool Vendor (Primary tool) Rule / Model Based DFM Rule Checking Decks Mentor (CalibreYA) Rule Critical Area Analysis Litho. Simulation CMP Simulation DFM Aware Chip Integration Flow Simultaneous Leakage Reduction and Yield Optimization Statistical STA Ponte (YA) Mentor Mentor (LFD) Clearshape (In-shape) Cadence CP Synopsys Magma Cadence Blaze-MO TBD Model Model Model Model Model Rule / Model Rule / Model Rule / Model Rule / Model Model 14

Summary One of the key challenges in supporting leading edge tape outs is requirement for very fast product yield ramp. This requires as much of a first-time right methodology and very fast test, failure analysis and repair capability. Progress is being made in the DFY/DFM area. Manufacturers like the Common Platform have been qualifying and enabling promising tools and technologies in this area by supporting DFM models Supporting models based technologies are not easy because they require running adequate silicon on a relatively stable process Challenges In Model Development Cost Significant Capital Costs in Foundry, Shrinking Wafer Margins Timeline Process stability Lack of Model Standards (i.e. no standard in Litho simulation model) Unwillingness of cross-eda cooperation Logistics of Managing Model Updates Industry requires progress in Model or Model Interface Standards to substantially proliferate Model-based DFM 15

16

Critical Area Analysis Models Critical Area Visual Hot Spots Typical CAA flow Fail Rates Item Unit Mature Ramp - Early MC on GP Open (ppb) 1.5 6 15 MC on Active Open (ppb) 1.5 6 15 ACT Open (/cm²) 0.05 0.5 2 ACT Short (/cm²) 0.1 1 2 GP Open (/cm²) 0.05 0.5 2 GP Short (/cm²) 0.1 1 2 M1 Open (/cm²) 0.05 0.5 3 M1 Short (/cm²) 0.05 0.5 3 Critical Area Reporting Layout GDSII (DRC cleaned) Fail rate for CAA (from Si) CAA (Ponte YA) 1) Critical area extraction Slide courtesy of Ponte Solutions 17 2) Visualization 3) Yield scoring 4) Priority list to fix

CMP Modeling Test Wafer GDSII Fabrication Measurement Lab CMP Analysis Measurement Database CMP model Cu thickness, Oxide thickness, Surface height Slide courtesy of Cadence 18

Basic Components of Statistical STA Library Development Spice Netlist Design Flow Logic Synthesis Cell Layouts Silicon Variation Models Statistical Cell Characterization CMP Simulation Litho Simulation Length/Width Tox/Vt variation SSTA Cell Library Each Layer s width & thickness Variation Statistical RC Extraction Statistical Static Timing Analysis Netlist Physical Design RC Extraction Static Timing Verification Physical Verification Statistical Optimization 19

Litho Simulation Model Development 20