Integrated Process Technology Development for the sub 7nm Era

Similar documents
Key Technologies for Next Generation EUV Lithography

New Materials as an enabler for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing

Enabling Tool and Process Technologies for Advanced Devices

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Complementary Metal Oxide Semiconductor (CMOS)

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Hafnium -based gate dielectrics for high performance logic CMOS applications

New conductors what are the options? Marleen van der Veen

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

EE 143 CMOS Process Flow

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

ASML - A strong company on a growth trajectory

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

Laser Spike Annealing for sub-20nm Logic Devices

Memory Innovation Made Possible by Suppliers

EUV patterning improvement toward high-volume manufacturing

Collaboration as a Way forward for Semiconductor Technology Albany NanoTech. Albany Innovation Conference

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

SKW Wafer Product List

Overview of Dual Damascene Cu/Low-k Interconnect

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Atomic Layer Deposition (ALD)

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT

EUV Products and Business Opportunity

EUV Products and Business Opportunity

OUTLOOK FOR ADVANCED CLEANS

EECS130 Integrated Circuit Devices

MOS Front-End. Field effect transistor

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Lam Research Corporation

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

200mm Next Generation MEMS Technology update. Florent Ducrot

Medium-term Management Plan Progress and TEL Initiatives

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Performance Predictions for Scaled Process-induced Strained-Si CMOS

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Linx Consulting, Inc.

ALD/CVD High-k Metal TECHCET. A Critical Materials Report. Prepared by Jonas Sundqvist, Ph.D. Edited by Lita Shon-Roy.

Lithography options for the 32nm half pitch node. imec

Implementation of high-k gate dielectrics - a status update

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Graduate Student Presentations

Metal Oxide EUV Photoresists for N7 Relevant Patterns

PERFORMANCE IMPROVEMENT OF FINFET USING SPACER WITH HIGH K DIELECTRIC

CMP challenges in sub-14nm FinFET and RMG technologies

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Emerging Materials for Front End IC Process

MOS Gate Dielectrics. Outline

Chapter 3 CMOS processing technology

ALD Film Characterization Rachel Brown 5/13/14

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Make sure the exam paper has 9 pages total (including cover page)

Czochralski Crystal Growth

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Market Trends & Supply Chain Issues Report: Advanced High K & Metal ALD/CVD Precursors A TECHCET Critical Materials Report

Creating a New TEL: Key Initiatives. Tetsuro Higashi Representative Director, President & CEO July 10, 2015

Process Challenges for 1S-1R Crossbar Memory

2008 Summer School on Spin Transfer Torque

2006 UPDATE METROLOGY

Making III-V contact with silicon substrates

0HE, United Kingdom. United Kingdom , Japan

About Cambridge NanoTech Atomic Layer Deposition (ALD) Selected Applications Manufacturing Considerations ALD Reactors Summary

Lessons Learned from SEMATECH s Nanoimprint Program

INTERNATIONAL TECHNOLOGY ROADMAP

Advanced Lithography Updates and Challenges for Metrology and Inspection

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

FABRICATION of MOSFETs

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Notable Trends in CMP: Past, Present and Future

TSV Interposer Process Flow with IME 300mm Facilities

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

NANOMANUFACTURING TECHNOLOGY

More on VLSI Fabrication Technologies. Emanuele Baravelli

CMOS FABRICATION. n WELL PROCESS

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Transcription:

Integrated Process Technology Development for the sub 7nm Era July 12, 2017 Alex Oscilowski President TEL Technology Center, America, LLC.

TEL s Global R&D Operations Korea U.S. imec (Belgium) TEL Technology Center, America TEL Technology Center Korea CEA-Leti (France) Taiwan Japan Tokyo Electron Yamanashi Ltd. Tokyo Electron Kyushu Ltd. Tokyo Electron Tohoku Ltd. Tokyo Electron Miyagi Ltd. SUNY Poly/CNSE (US) TEL Technology Center, Taiwan IME (Singapore) TEL R&D base Consortium Alex Oscilowski/TTCA/July 12, 2017 2

TEL R&D programs target key industry challenges Technology Roadmap (by Mx pitch) 64-56nm 48-40nm 38-28nm 28-20nm 20-14nm N14 N10 N7 N5 N3.5 Patterning (Logic M1 pitch) ArF immersion ArF-i/EUV/DSA SADP, LEn SADP, LEn SAQP, LEn SAQP, LEn SAOP, LEn Device FinFET FinFET FinFET FinFET, Nanowire FinFET, Nanowire Material: Channel, Contact plug, Interconnect metal Si/Si Si/Si Si/SiGe III-V/SiGe, Ge III-V/SiGe, Ge W W, Co W, Co Co Co Cu Cu Co, Cu Co, Ru Co, Ru Disruptive changes for N7/N5 Patterning methods Device architectures Material schemes are addressed through unique capabilities @ TTCA in Albany World class engineering talent Leading-edge process access Process/Metrology tools Competitive Benchmarking EUV Integrated flows and e-test Alex Oscilowski/TTCA/July 12, 2017 3

TTCA R&D strategy for <7nm Develop integrated process technology modules through key R&D partnerships that deliver value to TEL and our customers Alex Oscilowski/TTCA/July 12, 2017 4

Integrated process technology modules for <7nm Process Process technology technology Lithography/Patterning Lithography/Patterning Deposition Deposition Etch Etch Cleans Cleans Integration capability Test structures Wafer processing/access Test data (electrical/rel.) Metrology Integrated process technology modules Gate Contact Interconnect Alex Oscilowski/TTCA/July 12, 2017 5

TTCA key R&D partnerships IBM alliance JDPs EUV patterning Transistor data Electrical/rel. data SUNY Poly/CNSE Infrastructure Technology FEOL materials EUV extension Transistor data Novel devices Supplier partners Customer focused R&D JDPs Advanced patterning Integrated modules Demos Internal R&D Module development Fast cycle feasibility Electrical/rel. data Test structures Wafer processing Competitive Benchmarking Alex Oscilowski/TTCA/July 12, 2017 6

Advanced Patterning Examples Alex Oscilowski/TTCA/July 12, 2017 7

SAQP using a photoresist mandrel Photoresist mandrel challenge Standard Treatment 1 Treatment 2 PR PR SiARC ODL PR PR SiARC ODL SiARC ODL SiARC ODL A-Si Oxide SiN A-Si Oxide SiN A-Si Oxide SiN A-Si Oxide SiN Oxide SiN Oxide SiN Oxide SiN Si Si Mandrel hardening Si Si Si Si Si Si Improved verticality CD ~ 16.8nm; L(S) CDU ~ 0.7 (0.9) nm LWR/LER for 4 line and 4 space features LWR LER Ave line 1.5 nm 1.2 nm Ave space 0.6 nm 1.3 nm H. Kang, SMC-2017, Seoul CDU, LER comparable to double hard mandrel SAQP Alex Oscilowski/TTCA/July 12, 2017 8

Demonstrated Spacer-on-Spacer for SAQP cost reduction Fewer Steps for Same Results: Alex Oscilowski/TTCA/July 12, 2017 9 S. Thibaut et al, SPIE 2017

Self-Aligned Block for critical sub-40nm pitch Mx patterning A. Raley et al, SPIE 2017 Alex Oscilowski/TTCA/July 12, 2017 10

Advanced Gate Stack Examples Alex Oscilowski/TTCA/July 12, 2017 11

Ferroelectricity in Hafnium Oxide based Thin Films Engineered HfO 2 Anneal, etc. Doped HfO 2 Zr, Al, Gd, La, Si, Sr and Y Ferroelectricity in HfO 2 origin is assumed attributed to the non centrosymmetric phase/orthorhombic phase Currently Ferroelectric films are considered for Ferroelectric RAM (FeRAM) and as gate dielectrics for negative capacitance FETs (NCFETs) Source: J. Muller, SEMICON Europa 2012 T.S. Boscke et al. Appl. Phys. Lett. 2011 Alex Oscilowski/TTCA/July 12, 2017 12

Negative Capacitance FET (NCFET) Electrical Performance I DS -V GS Characteristics Scaling effect L G = 2 μm L G = 1 μm Steep sub-threshold slope demonstrated with ALD HZO thickness scaling Steep switching only occurs when device swept beyond coercive voltage. Dipole switching is essential for onset of NC effect. Sharma et al, VLSI-2017, Kyoto Alex Oscilowski/TTCA/July 12, 2017 13

Advanced Contact Examples Alex Oscilowski/TTCA/July 12, 2017 14

ALD Ti vs PVD Ti: wrap around contact NMOS Si:P R FIN R C PLOTS 4Fin-TLM resistance (Ω) 4.0k 3.0k 2.0k 1.0k 0.0 ALD Ti PVD Ti + extra HF ALD Ti + extra HF 0.2 0.4 0.6 0.8 1.0 Spacing (µm) ALD Ti PVD Ti + extra HF ALD Ti + extra HF ALD Ti ALD TI + Extra HF ALD Ti wafers have lower Rc as compared to PVD Ti wafers Conformal Processes for Contact Cleans and Metals enable Wrap Around Contacts Alex Oscilowski/TTCA/July 12, 2017 15 15 IITC - 2017

Etch Challenges for EUV Based Contact Patterning Etch Innovation required to break these tradeoffs and to help correct incoming variability TEL DC Superposition with Q-ALE Technology enable Concurrent attainment of High Selectivity Symmetric Shrink LER smoothing & and wiggle mitigation Quasi- ALE Concept / Model A. Metz, SPIE 2017 Alex Oscilowski/TTCA/July 12, 2017 16

Advanced Interconnect Examples Alex Oscilowski/TTCA/July 12, 2017 17

Physical Characterization: ALD barrier testing: In-situ Ramp Anneals Barrier layers investigated using in-situ ramp anneal synchrotron XRD (Advanced Photon Source at Argonne National Lab) T c = 695ºC Integrated area under XRD peaks Al/(Al+Ta)% = 0.33 ALD TaN and TaAlN show better barrier performance than PVD TaN Alex Oscilowski/TTCA/July 12, 2017 18 S. Consiglio et al. ECS Trans Fall (2015)

Resistance [kohm] [kohm] Electrical Characterization: ALD barrier / CVD-Ru liner (2nm) / Cu-fill 220 200 180 160 PVD-TaN 2nm ALD-TaN 2nm ALD-TaN 1nm ALD-TaAlN 2nm ALD-TaAlN 1nm L/S=60nm/60nm 140 3.5 4.0 4.5 5.0 Capacitance [pf] Cumulative probability [%] 99.9 99 95 90 80 70 50 30 20 10 5 1 Via size=64nm PVD-TaN 2nm ALD-TaN 2nm ALD-TaN 1nm ALD-TaAlN 2nm ALD-TaAlN 1nm.1 0 10 20 30 Via resistance [ohm] [kohm] Time to 50% failure [sec] 10 3 10 2 10 1 10 0 L/S=60nm/60nm peri.=30mm temp.=125degc PVD-TaN 2nm ALD-TaN 2nm ALD-TaN 1nm ALD-TaAlN 2nm ALD-TaAlN 1nm TDDB 4 5 6 Electric field [MV/cm] Lower RC and Via Resistance plus Superior TDDB with ALD-Ta(Al)N compared to POR PVD-TaN Alex Oscilowski/TTCA/July 12, 2017 19 Y. Kikuchi et al., IITC/AMC 2016

TTCA unique capabilities Patterning capability Key R&D partnerships Rapid cycles of learning Leading edge litho ASML XT1950 ArFi- 193i ASML NXE3300B EUV DSA Film deposition, etch, and clean expertise Structures for gates, contacts, interconnects, 3DI Novel structures World class team Cross disciplinary/multi BU Breadth and depth Customer, supplier, consortia experience Joint development with all key customers, partners, suppliers on site Extensive data sharing/learning TTCA Full flow tool/process access Leading edge patterning Ge and III-V epi, BDIII, PVD, LSA/RTA Secure, TEL controlled full flow 24/7 process/tool access FEOL/MOL/BEOL/3DI All TEL/competitor tools Metrology/test Competitive Benchmarking Available to all TEL businesses Fast demo and feasibility data Local CIP/manufacturability data Hardware prototype enablement 24/7 operation TEL controlled IP protection Up and down stream integration flexibility Clustered processing, precursor delivery development Electrical/Reliability data CD variation/defectivity Vt, Vfb, Ion/Ioff, Dit, EOT, Jg, Ig, Rc, reliability for FEOL RC, Leakage, Rvia, Rline, SS, DIBL, BTI Via chain yield and reliability for BEOL Presenter / Division / Date (e.g., October 1, 2015) / Serial number 20

TEL and CNSE a long history of success! 12+ Years of LEADING-EDGE R&D CAPABILITY The SUNY Polytechnic Institute Colleges of Nanoscale Science and Engineering s Albany NanoTech Campus New Zero Energy Nanotechnology (ZEN) Building E C A B D 2003 04 05 06 07 08 09 10 11 12 13 14 15 TTCA* Founded A B C NanoFab South Annex 13 TEL tools in a 4000+ sq ft cleanroom NanoFab South 6 TEL tools in a 2000+ sq ft cleanroom First MOSCAP Data NanoFab North 40 TEL tools in a 4000+ sq ft cleanroom First Full Flow Transistor Data NanoFab Central 18 TEL tools in a 4000+ sq ft cleanroom D First Full Flow FinFET Data First III-V Data First DSA Data New Fab NFX 50,000 sq ft cleanroom 300/450mm compatible 3 TEL tools installed E DCS Enabled LFLFLE Solid Source Fin Doping 7nm SiN/SiO 2 ALE A WORLD-CLASS TEAM 90+ engineers 60+ service/support INTEGRATED PROCESSING TEL TOOLS Coater/Developer Tools 12 FEOL/BEOL Tools 42 Metrology/Test Tools 26 LITHOGRAPHY ACCESS ASML 1700i 1.2NA/50nm l/s ASML 1950i 1.35NA/35nm l/s ASML NXE3300B 0.33NA/18nm l/s Alex Oscilowski/TTCA/July 12, 2017 21