New Applications of Chemical Mechanical Planarization

Similar documents
Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

Evaluation of Copper CMP Process Characterization Wafers

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Chips Face-up Panelization Approach For Fan-out Packaging

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Motorola PC603R Microprocessor

200mm Next Generation MEMS Technology update. Florent Ducrot

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Interposer Process Flow with IME 300mm Facilities

Intel Pentium Processor W/MMX

SLURRY FORMULATION OPTIONS

Advanced STI CMP Solutions for New Device Technologies

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Rockwell R RF to IF Down Converter

PROVIDER OF BREAKTHROUGH TECHNOLOGY, PROCESSES AND EQUIPMENT FOR ENGINEERED SUBSTRATE SOLUTIONS. ...

3D technologies for integration of MEMS

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Isolation of elements

Lattice isplsi1032e CPLD

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Isolation Technology. Dr. Lynn Fuller

Understanding and Reducing Copper Defects

2006 UPDATE METROLOGY

Surface micromachining and Process flow part 1

Semiconductor Technology

Dallas Semicoductor DS80C320 Microcontroller

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Thin Wafers Bonding & Processing

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Cost of Integrated Circuits

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Post-CMP Cleaning: Interaction between Particles and Surfaces

EE-612: Lecture 28: Overview of SOI Technology

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

SGS-Thomson M17C1001 1Mb UVEPROM

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Silicon Wafer Processing PAKAGING AND TEST

The 3D Silicon Leader

Radiation Tolerant Isolation Technology

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Process Flow in Cross Sections

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Hybrid BARC approaches for FEOL and BEOL integration

Evaluation of Cu Pillar Chemistries

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

CMOS Manufacturing Process

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

KGC SCIENTIFIC Making of a Chip

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

VLSI Systems and Computer Architecture Lab

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Supporting Information

CMP challenges in sub-14nm FinFET and RMG technologies

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Hot Chips: Stacking Tutorial

Wafer-level 3D integration technology

SUMMiT V Five Level Surface Micromachining Technology Design Manual

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

PROCESSING OF INTEGRATED CIRCUITS

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

CMOS FABRICATION. n WELL PROCESS

LAM 490 Etch Recipes. Dr. Lynn Fuller

Atomic Layer Deposition(ALD)

SURFACE MICROMACHINING

Modeling of Local Oxidation Processes

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

5.8 Diaphragm Uniaxial Optical Accelerometer

3D technologies for More Efficient Product Development

Enabling Technology in Thin Wafer Dicing

Functional Ceramics for Electronics and Energy Technology

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Advanced developer-soluble gap-fill materials and applications

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Development of different copper seed layers with respect to the copper electroplating process

Fabrication Technology

Laser Spike Annealing for sub-20nm Logic Devices

Poly-SiGe MEMS actuators for adaptive optics

Lecture Day 2 Deposition

Transcription:

New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015

Welcome to Entrepix Click to edit Master title style 2/23/2015 confidential information 2

Entrepix Overview Click to edit Master title style 1998 Company founded on value-add refurbishing & services 2002 Launched first of its kind CMP foundry operation 2003 New facility with sub-class 100 cleanroom 2005 Foundry ISO 9001 certification & top 5 IDM prod contracts 2007 Exclusive OEM partnership agreements 2008 Capital raise & first acquisition (Ascentec) 2009 Launched ETPX Asia (Singapore, China, Taiwan) 2012 Product expansions and Rite Track Partnership Remanufactured Equipment OEM Eco-Partnerships: Foundry Processing: On-Site Field Services Parts Support Image displayed with permission of Freescale Semiconductor, Inc. Technology Upgrades Personnel Training Technology Renewal Partnership: Process Development Polishing Services 2/23/2015 confidential information 3

Outline Click to edit Master title style CMP Intro Process Development Polymer CMP SiGe Transfer Layer CMP Oxide Nanostructure CMP TSV CMP Summary 2/23/2015 4

CMP Intro Click to edit Master title style CMP = Chemical Mechanical Planarization Majority of equipment is based on rotational motion of pad and wafer (schematic representation below) Highest volume applications are oxide (ILD), tungsten and copper No CMP Traditional Device (a) Side View (b) Top View Carrier (head) Slurry Feed Pad Conditioner 4 Basic CMP Steps Newer Device Carrier (head) Platen W Via Wafe r Polishing Pad ILD PMD Slurry Feed W Plug 2/23/2015 5

CMOS Life Before CMP Click to edit Master title style Topography at ILD levels (some severe) Sloped wall vias generally limited designers to only 2 or 3 levels of metal Even for fabs that adopted tungsten plugs and SOG, stacked plugs were generally not allowed 2/23/2015 6

CMOS Life After CMP Click to edit Master title style Topography under control CMP enabled multiple levels of metal Stacked plugs no longer an issue Shallow trench isolation widely adopted Drove several generations of shrinks and more complicated stacks However this technology started to run out of steam for the most advanced CMOS devices AMD K6 microprocessor (circa 1996) 2/23/2015 7

Cu CMP Click to edit Master title style IBM PC603 microprocessor (circa 1998) Dual damascene process integration for patterning Cu lines and vias Primary process issues: Robust clear, defect density, dishing, erosion Fastest growing CMP application for many years New applications now starting to ramp into production 2/23/2015 8

CMP Landscape Click to edit Master title style Process Applications: 1995 - Qty 2 CMOS Oxide Tungsten 2001 - Qty 5 CMOS Oxide Tungsten Cu (Ta barrier) Shallow Trench Polysilicon 2011 - Qty 40 CMOS New Apps Substrate/Epi Oxide MEMS GaAs & AlGaAs Tungsten Nanodevices poly-aln & GaN Cu (Ta barrier) Direct Wafer Bond InP & InGaP Shallow Trench Noble Metals CdTe & HgCdTe Polysilicon Through Si Vias Ge & SiGe Low k 3D Packaging SiC Capped Ultra Low k Ultra Thin Wafers Diamond & DLC Metal Gates NiFe & NiFeCo Si and SOI Gate Insulators Al & Stainless Lithium Niobate High k Dielectrics Detector Arrays Quartz & Glass Ir & Pt Electrodes Polymers Titanium Novel barrier metals Magnetics Sapphire Integrated Optics 2/23/2015 confidential information 9 9

Intro to Example Click to #1: edit Polymer Master title CMP style The semiconductor industry is developing a range of advanced packaging technologies to help improve system performance. For some companies, this represents an alternative path to continued shrinks of 2D device architecture. Design goals for many of these efforts include one or more of the following: Improve signal routing flexibility Reduce parasitic losses Shrink final form factor of the system (particularly for mobile platforms) One of the key technical challenges for advanced packaging is the ability to consistently form thru silicon vias (TSVs). The goal of this particular project is to develop multi-layer interposers that include large Cu lines in stacked redistribution layers with large connecting vias. The project was led by Prof. Charles Ellis of Auburn University. 2/23/2015 10

Dielectric Choice Click to edit Master title style From 3 potentially viable alternatives, ALX2010 was chosen 2/23/2015 11

Fabrication Click to Sequence edit Master title (part style 1) 1. Grow oxide layer on bare Si Silicon Dioxide Silicon 2. Deposit seed layer (Ti / Cu / Ti) Seed Layer 3. Photolithography to define conductor plating areas Photoresist Courtesy of Auburn University 2/23/2015 12

Fabrication Click to Sequence edit Master title (part style 2) 4. Remove top Ti and plate conductors (3 um Cu) Plated Copper 5. Strip, recoat with thick resist, and pattern holes for via mold Via Pattern 6. Plate vias (13 um Cu), strip mold, and etch to remove seed layer. Via Pillar Courtesy of Auburn University 2/23/2015 13

Fabrication Click to Sequence edit Master title (part style 3) 7. Deposit ALX to a thickness slightly thicker (2-3 um) than via height AL-X2010 8. CMP to planarize ALX and expose tops of Cu vias Courtesy of Auburn University 2/23/2015 14

One Level Completed Click to edit Master title style Courtesy of Auburn University First level conductor and vias completed. Ready to repeat for next level. 2/23/2015 15

CMP Development Click to edit Master title style SCREENING EXPERIMENTS Started with assortment of proven slurries used with other materials Same type of pad was used for all trials, but new pad installed for major changes in particle type Most slurries suffered one or both of 2 primary failure modes: 1) low removal rate and/or 2) severe scratching CMP Process Summary Wafer size 100mm Material Polymer ALX2010 INPUTS Platform IPEC 472 (later transferred to other platforms) Primary Pad IC1000 k-groove on SubaIV Primary Slurry colloidal alumina Final Pad Politex embossed Final Slurry or Chemistry None Pad Conditioning 3M (4.25" standard) Downforce 5 psi Back Pressure 1 psi Platen Speed 30 rpm Carrier Speed 37 rpm Slurry Flow 100 ml/min CMP RESULTS Slurry with best performance on the ALX2010 in this test was a colloidal alumina Process summary at right Polymer Removal Rate Planarization Polymer surface finish Cu surface finish 6000-7500 Ang/min Very good for this application Minimal dishing on Cu posts once exposed Excellent with no scratching Good with no major scratching or pitting 2/23/2015 16

Planarization Click to edit Master Efficiency title style Pre-CMP S.H. ~ 12.5 mm Post-CMP S.H. ~ 0.35 mm Planarization efficiency of roughly 97% 2/23/2015 17

Images Click to before/after edit Master title CMP style Cross section pre-cmp Top down view at breakthrough Top down view pre-cmp Top down view fully cleared 2/23/2015 18

Top down Click view to and edit xsection Master title SEM style Buried conductor (thru polymer) and via posts with tops cleared after CMP Cross section SEM of finished interposer with 3 levels of metal. Courtesy of Auburn University 2/23/2015 19

Advantages of Click Chosen to edit Process Master title Flow style Filled (solid) Cu vias which have low resistance and are large enough to carry substantial current No need to pattern dielectric (can utilize any dielectric that can be polished) High aspect ratio capability depends on photoresist aspect ratio Very good planarization easy to add multiple signal layers 2/23/2015 20

Device pic #1 Click to edit Master title style Courtesy of Auburn University 2/23/2015 21

Device pic #2 Click to edit Master title style Courtesy of Auburn University 2/23/2015 22

Intro to Example #2: Click SiGe to edit Transfer Master title Layer style SiGe transferred from a donor substrate to an oxide layer on a Si wafer (similar to Smart-Cut SOI technique). Surface of SiGe after splitting is slightly rougher than desired. Process targets: Reduce roughness of transferred SiGe layer to less than 5 Ang Minimize amount of material removed to achieve above Minimize all sources of added thickness variation Most previous SiGe polishing processes removed too much material, or induced too much thickness variation, even when used for relatively short polish times. Success for this project required that a customized process be developed. 2/23/2015 23

SOI Smart-Cut Flow Click to edit Master title style Developed at CEA-Leti Six key steps Oxidation Hydrogen implantation Direct wafer bonding Splitting Annealing Touch or Kiss polishing Very flexible for thickness of buried oxide & top Si layers H+ damage relatively easy to anneal Source: SOI Technology by Vishwas Jaju, 2004 24

SiGe Polish Click Rate to edit vs Master Composition title style SiGe polish rate is a strong nonlinear function of composition below 30% Ge. This project was focused around 50% Ge layers, so rate was insensitive to small changes in composition. 25

SiGe Transfer Layer Click to edit Master title style SiGe Layer Pre-CMP (after transfer) SiGe Layer Post-CMP (device-ready) RMS Roughness 13.5 Ang Images courtesy of anonymous customer RMS Roughness 1.6 Ang The above result was achieved using a gentle CMP process with silica slurry and mild chemistry to only remove about 500-700 Ang of SiGe material. 26

Intro to Example #3: Click Oxide to edit nanostructures Master title style This project involves extremely repetitive inlaid nanostructures. After etching, recessed features of ~100nm depth are filled with densified CVD oxide then planarized using CMP. Process targets: Clear oxide over field areas as uniformly as possible Minimize Si loss after breakthrough Minimize topography after CMP to <1 nm if possible CMP process approach: - High selectivity STI slurry (ceria based) - Standard planarizing pad - Gentle conditioning - Non-aggressive polishing recipe (about 2.5-3 psi and 70-90 rpm) 2/23/2015 27

Inlaid Click Oxide to edit Nanostructures Master title style Nano features (width <50nm) Wide features (width < 1mm) Silicon wafer Courtesy of anonymous customer Initial trench depth is 50-100 nm. The desired final structure is isolated TEOS oxide filling the trenches with final surface topography <1nm. 2/23/2015 28

Post-CMP Click to Topography edit Master title <1nm style Wafer Center Wafer Edge Slot 18: 105S POLISH Slot 23: 120S POLISH Images courtesy of anonymous customer 29

Topography Summary Click to edit Master title style CMP process was evaluated over a range of features Slots 7 and 13 were overpolished Slots 18 and 23 were polished to nominal target Courtesy of anonymous customer All sites measured well below target topography of <1nm 30

TSV Background Click to edit Master title style Two-dimensional device scaling is increasingly difficult and fast approaching fundamental limits of physics (or balance sheets). 3D integration also faces substantial process and design issues, but various approaches are now gaining traction. Timing for mainstream adoption of 3D is now. Several products are already in the market and more are being launched. One of the key technologies to enable 3D structures is TSV s. 31

3D Packaging Apps Click to edit Master title style Source: Yole Developmentt 32

Click to edit Master title style 3D Growth Source: Yole Development Growth rates for 3D integration Flash continues to drive the market 6000 5000 4000 3000 2000 3D-SiP (12" eq.) MEMS (8"eq.) DRAM (12" eq.) Flash (12" eq.) CIS (12" eq.) RF-SiP (8" eq.) DRAM leading new growth 1000 0 2006 2007 2008 2009 2010 2011 2012 CAGR Units (000) 2006 2007 2008 2009 2010 2011 2012 CAGR RF-SiP (8" eq.) 19.77 37.58 54.38 71.19 88 104.81 121.62 35.4% CIS (12" eq.) 68.06 92.63 106.53 136.15 164.45 182.13 194.92 19.2% Flash (12" eq.) 0 0 164.94 583.73 1087.35 1946.4 3391.65 112.9% DRAM (12" eq.) 0 0 6.04 46.01 189.44 513.34 857.07 245.2% MEMS (8"eq.) 0 4.56 31.19 61.41 108.98 184.87 291.72 129.8% 3D-SiP (12" eq.) 0 0 0.42 3.02 10.63 28.16 64.26 251.3% 33

Click to edit Master title style Role of CMP CMP is used in a damascene architecture to help form the via after the conductor deposition from one side. TSV s can be filled with any of several conductive materials. Most common options are copper and polysilicon. Final choice depends on dimensions, operating voltage and current, frequency, plus other integration factors. Layer thicknesses can be several microns (or more). Topography requirements are generally much less demanding than CMOS 34

Click to edit 2.5D Master Integration title style Bonded Die Si interposer with TSVs PCB or Substrate Source: RTI 35

Click to edit Master Process title Flow style (a) (b) (c) (d) Process flow for Si interposer with TSVs: (a) TSV etch, insulation, plating, and CMP, (b) Frontside multi-level metallization, (c) Wafer thinning and TSV reveal, (d) Backside metallization. 36

TSV Example Click to edit 1: Front Master side title style Cu Representative Images of RTI TSVs for Si interposer applications showing large vias with thick Cu overburden TSVs shown are 50um diameter with 25um overburden. High rate Cu slurry was used to remove front-side overburden TSVs and overburden, Pre-CMP Post CMP (typical dishing ~0.5-1um) 37

Click to edit High Master Rate title Cu CMP style High rate Cu CMP process using an AGC slurry Cu removal rates of >4 µm/min achieved Cu polishing times of reduced by 60-70% compared to standard CMOS-compatible Cu slurries Dishing 0.25um measured on multiple wafers (50 to 80um TSV diameter) even with up to 5 min overpolish Good surface finish on all exposed materials 38

Click to Front edit Master side of title TSVs style Optical Images post CMP- Cu TSVs polished to oxide / nitride Some wafers were plated with as much as 43 um of Cu overburden Top down SEM post CMP Images courtesy of RTI International 39

Click Dishing to edit Master on large title TSV style Typical dishing across 80um TSV diameter was <250 nm Dektak Image of polished Cu TSV- dishing 40

Click to edit Master TSV title Reveal style After completion of device layers on front side of wafer TSV must be exposed to make contact and/or continue patterning next layers (RDL) from wafer backside. Various integrations are being pursued with combinations of backgrind, etch, selective CMP, or non-selective CMP. Some approaches require 2 or 3 steps of CMP to achieve desired result CMP requirements are strongly dependent on the integration path and the final tolerance of the exposed vias for dishing, roughness, etc.. 41

Example 2: Reveal Click High to edit rate Master Si title and style Cu Backgrind stops before reveal Carrier Mount TSV wafers mounted face down on carrier wafers Backgrind TSV wafers thinned using backgrind to approx 5-15um below TSVs Carrier Wafer Reveal CMP performs dual function of removing grind damage layer and exposing center conductor of TSV s 42

Click to edit Master After title CMP style CMP to Expose & Planarize TSVs Three primary materials Single crystal silicon Oxide (optional thin nitride) Copper Carrier Wafer 43

Click to edit TSV Master Reveal title Step style Need to polish far enough into TSVs to remove rounded profile at base of vias CMP required to at least this depth Si 3 N 4 SiO 2 Insufficient Removal at This Depth Images courtesy of RTI International 44

TSV Click Reveal to edit Process Master title Goals style High Si rate Low selectivity Reasonably matched Cu and Tox rates Minimize dishing on wide structures after reveal Good surface quality Low roughness on both Si and Cu No scratching No as stringent as CMOS metallization Screening tests used same process settings used for all slurries 45

Removal Rate (Ang/min) Click CMP to Slurry edit Master Screening title style 10000 9000 8000 7000 6000 5000 4000 3000 2000 1000 0 Si Rate Cu Rate Oxide Rate 46

CMP Process Click to edit Master title style Slurry J was chosen for patterned wafers Re-optimized process for higher Si rate Target 1 um/min Achieved 1.05 um/min Iterative polish on first wafer Total amount to be removed estimated at 30 um Polished in 5 minute increments Inspection clearly showed breakthrough Final surface topography <250 nm achieved 47

Click to edit Visual Master endpoint title style 20 min 25 min 30 min Custom Entrepix process was used to planarize Si-Ox-Cu Polishing endpoint was determined by monitoring the exposed TSV diameter and the width of via dielectric band by microscope 48

Click to edit Master title Result style Completed interposer test structure: 25um via diameter, 100um thickness. Structure has 2 frontside metal layers (4um Cu) and 1 backside metal in TSV chains. Oxide / nitride TSV dielectric, polyimide dielectric on front / back wafer surfaces. Bottom surface received TSV reveal polish Image courtesy of RTI International 49

Integration Options Click to edit Master title style Interposers Viewed as more cost-effective than full 3D integration Allow more flexibility in device architecture and PCB layout Other options or improvements for TSV reveal Etch (wet or plasma) to expose TSV s then planarize with CMP Reduce Si overburden with lower backgrind target Faster Si polish rate Develop endpoint algorithm (if possible) 50

Example 3: Reveal CMP after Si etch Click to edit Master title style After backgrind, bulk Si removed by an etch process Installed equipment already available Lower cost per wafer Can be either dry etch or wet etch, but must be highly selective to oxide Si etch proceeds until 3-5um of encased via bumps are exposed Primary goal of CMP is to planarize bumps and expose the Cu cores One benefit of this approach is to reduce total CMP polish time (drops to roughly 1-1.5 min per platen on P1/P2 of a Mirra) Less sensitive to uniformity issues Faster throughput and lower cost Only first pass optimization so far may drop even further as work proceeds 51

RR (A/min) Slurry Removal Rates Click to edit Master title style Slurry developed specifically for this type of application Blanket film removal rates at 3psi membrane pressure Effective bump removal rate is much higher due to pattern density effects 2000 1784 1500 1518 1000 766 837 500 0 Teos SiN Cu Ta Film 52

Topography Wfr 1 Click to edit Master title style Pre-CMP Step Height 22,000 Ang Post-CMP Step Height 60 Ang 53

Topography Wfr 2 Click to edit Master title style Pre-CMP Step Height 31,000 Ang Post-CMP Step Height 100 Ang 54

New Click Applications to edit Master Summary title style CMP development is more efficient when approached systematically. Polymer CMP Targeted at a novel integration for multi-layer interposer Required initial screening of slurries colloidal alumina chosen Achieved good surface finish and reasonable RR >0.5um/min SiGe Transfer Layer CMP Similar to Smart-Cut SOI fabrication sequence Achieved RMS roughness <2 Ang with 500-700 Ang CMP removal Oxide Nanostructure CMP Planarization of very small oxide-filled trenches (50-100nm deep) Minimal Si loss after clearing in field regions required using ceria slurry with high selectivity to Si Final topography of <1nm achieved across 300mm wafers 2/23/2015 55

TSV Summary-1 Click to edit Master title style Through Silicon Via Technology (TSV) Enabling many 3D integrations and growing rapidly Most TSV flows rely on CMP twice, but very different processes High rate Cu for front-side via definition (damascene) TSV reveal CMP from original back-side after grind High rate Cu slurry for via formation Slurry from AGC (CX-1000 series) Cu removal rate > 4 um/min at 4psi membrane pressure High selectivity to oxide, Ta, and Ti (all >100:1) Excellent topography control (<250 nm) even with long overpolish Good surface finish on all exposed materials 56

TSV Summary-2 Click to edit Master title style TSV Reveal (High rate Si & Cu removal) Custom blended formulation Si removal rate >= 1 um/min Low selectivity between Si and Cu (< 2:1) Excellent topography control (<400 nm) Single step CMP only ONE slurry required TSV Reveal (low-selectivity for more complex integration) Integration demands oxide/nitride/cu/barrier removal Low selectivity among all materials Excellent topography (<100 nm) and good surface finish Single step CMP only ONE slurry required 57

Click Acknowledgements to edit Master title style Many thanks to the following: Terry Pfau, Paul Lenkersdorfer, Donna Grannis & Scott Drews of Entrepix Prof. Charles Ellis of Auburn University Research Triangle Institute (RTI) Anonymous customers who gave permission to use images and data For additional information, please contact: Robert L. Rhoades Entrepix, Inc. Chief Technology Officer +1.602.426.8668 rrhoades@entrepix.com 58