Microelectronics Reliability

Similar documents
WITH THE advance of CMOS processes, integrated circuits. Investigation on Board-Level CDM ESD Issue in IC Products

Electrical Overstress Course

CMOS FABRICATION. n WELL PROCESS

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

JEDEC SOLID STATE TECHNOLOGY ASSOCIATION

National Semiconductor LM2672 Simple Switcher Voltage Regulator

The Industry Council on ESD Target levels

EE-612: Lecture 28: Overview of SOI Technology

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

IC Integrated Manufacturing Outsourcing Solution

Microelectronics Reliability

VLSI Systems and Computer Architecture Lab

Fabrication and Layout

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Mobile Device Passive Integration from Wafer Process

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Single Ended Pass-Transistor Logic A comparison with CM OS and CP L

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Cost of Integrated Circuits

Post-CMP Cleaning: Interaction between Particles and Surfaces

Note Flexible Four-in-one Micro Sensor for Reliability and 100-hour Durability Testing

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology. By: Ajay Kumar Gautam

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

Topview 5630 Red SMD LED

Silicon Wafer Processing PAKAGING AND TEST

EPC GaN Transistor Application Readiness: Phase Two Testing

Basic Opamp Design and Compensation. Transistor Model Summary

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

RF System in Packages using Integrated Passive Devices

Increased Efficiency and Improved Reliability in ORing functions using Trench Schottky Technology

APEC 2016 Component Level Safety Certification in Systems- IEC /UL1577. MARK CANTRELL Senior Applications Engineer

Rockwell R RF to IF Down Converter

First Commercial Demonstration of an Emerging Memory Technology for Embedded flash using CBRAM

^DDD. (12) United States Patent Bill et al. (io) Patent No.: US 6,459,625 Bl (45) Date of Patent: Oct. 1,2002 US B1 \ / \

Laser Spike Annealing for sub-20nm Logic Devices

Lecture 2. Fabrication and Layout

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Evaluation approaches for robust deep submicron (DSM) monolithic integrated circuit (IC) components of the shelf (?)

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

SGS-Thomson M17C1001 1Mb UVEPROM

Self-oscillating Half-bridge Driver

Dallas Semicoductor DS80C320 Microcontroller

PRELIMINARY. FRSH Series (0603, 0805, 1206, 1506, 2010, 2512) (Z1-Foil) Vishay Foil Resistors

CAEE H3002: IC Layout and Design

Table of Contents Radiation Testing

Radiation Tolerant Isolation Technology

ENGINEERING PRACTICE STUDY. TITLE: Propose changes for Electrostatic Discharge (ESD) requirements to MIL-PRF March 01, 2017

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Isolation Technology. Dr. Lynn Fuller

RESD1CANFH Transient Voltage Suppressor (AEC-Q101 qualified) Data sheet

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

PRELIMINARY. HTHA (Z1-Foil)

HTHA (Z1-Foil) Vishay Foil Resistors

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Alternative Approaches to 3-Dimensional Packaging and Interconnection

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

Reliability Qualification Report

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Packaging Technologies for SiC Power Modules

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

Step-response of an RC Wire as a Function of Time and Space (Fig. 4-15, p. 157)

Features. = +25 C, 50 Ohm System

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013

Reliability Report AEC-Q100-REV G Automotive Qualification for IXDD609SI, IXDI609SI, IXDN609SI VIS Foundry Process CU05UMS12010

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc.

HIGH-PERFORMANCE blue and green light emitting

Overcoming the Challenges in Very Deep Submicron

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Modeling of Local Oxidation Processes

Transient Stability Analysis of an Industrial Cogeneration System

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies

Development of Dye-Sensitized Solar Cell (DSSC) Using Patterned Indium Tin Oxide (ITO) Glass

Class 0 & Reliability ESD Case Studies

The Real Cost of ESD Damage. Terry Welsher

NOVEL MATERIALS FOR IMPROVED QUALITY OF RF-PA IN BASE-STATION APPLICATIONS

Intel Pentium Processor W/MMX

Z Series (Z-Foil) Vishay Foil Resistors.

5W White SPHWHTA3N500

Clustering Based Evaluation of IDDQ Measurements: Applications in Testing and Classification of ICs

Laser grooving technique for dicing nanoscale low-k wafer

RT8725. Single-Phase BLDC Fan Driver IC. Features. General Description. Applications

Field-Programmable Gate Arrays (FPGA) Qualification Manual

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3D Vertical RRAM. Henry (Hong-Yu) Chen, H.-S. Philip Wong Stanford University, CA, USA Collaborator: Peking University, China

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Recommendation for Handling and Assembly of Infineon Hallsensor PG-SSO Packages

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16

Demonstration for integrating capacitive pressure sensors with read-out circuitry on stainless steel substrate

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

Quality and Reliability Report

Tantalum and Niobium Technology Roadmap

Supplementary Information

TECHNICAL INFORMATION

Investigation of metal contacts via thermal treatment at Interfaces between low temperature Ag pastes and TCO layer for HIT solar cell

Transcription:

Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM ESD events at core circuits in a 65-nm CMOS process Chun-Yu Lin, Tang-Long Chang, Ming-Dou Ker National Chiao-Tung University, Hsinchu, Taiwan article info abstract Article history: Received 25 November 2011 Received in revised form 26 February 2012 Accepted 27 April 2012 Available online 19 May 2012 Among three chip-level electrostatic discharge (ESD) test standards, which were human-body model (HBM), machine model (MM), and charged-device model (CDM), the CDM ESD events became critical due to the larger and faster discharging currents. Besides input/output (I/O) circuits which were connected to I/O pads, core circuits also suffered from CDM ESD events caused by coupled currents between I/O lines and core lines. In this work, the CDM ESD robustness of the core circuits with and without inserting shielding lines were investigated in a 65-nm CMOS process. Verified in a silicon chip, the CDM ESD robustness of the core circuits with shielding lines were degraded. The failure mechanism of the test circuits was also investigated in this work. Ó 2012 Elsevier Ltd. All rights reserved. 1. Introduction The major reliability issue for IC products is the damage caused by electrostatic discharge (ESD) [1,2]. Against ESD damages, onchip ESD protection circuit must be included in IC products. A general concept of on-chip ESD protection for ICs is shown in Fig. 1 [3,4]. The ESD protection device at input/output (I/O) pad and the power-rail ESD clamp circuit must be provided to accomplish the whole-chip ESD protection. The ESD robustness of IC products can be tested. The chip-level ESD test standards are known as human-body model (HBM) [5], machine model (MM) [6], and charged-device model (CDM) [7]. The real-case HBM (MM) ESD events are caused from human (machine). The electrostatic charges are initially stored in the human (machine), and then transfer into the IC, when the human (machine) touches the IC. A 2-kV HBM (200-V MM) ESD event can generate an ESD current peak of 1.3 A (3.5 A) with a rise time of 10 ns [8]. The CDM ESD event happens as a certain pin of the IC is suddenly grounded, and the electrostatic charges originally stored within the IC will be discharged through the grounded pin. The typical 1-kV CDM ESD event from a charged IC (with an equivalent 4-pF capacitance to ground) can generate a current peak as high as 15 A within a rise time of only 0.2 ns [8]. Among the chip-level ESD test standards, the CDM ESD events play major roles to cause failures in today s manufacturing and packaging environments [9,10]. Therefore, several ESD protection designs against CDM ESD events have been reported to protect the I/O circuits which are directly connected to the external pins [11 13]. Besides the I/O circuits, the core circuits would also suffer the dangers Corresponding author. E-mail address: cylin@alab.ee.nctu.edu.tw (C.-Y. Lin). when the CDM ESD events happened at the I/O circuits. As compared with HBM and MM ESD events, the discharging current in CDM ESD event is larger and faster. Such very fast transient ESD pulse will be inherently conducted by the displacement current of capacitor, I = C (dv/dt), to the external ground through the path with parasitic capacitance. Therefore, the coupling effects between I/O line and core line should be considered during CDM ESD events. The core circuit may also suffer from ESD stress caused by the coupled current when I/O circuit is stressed by ESD. Adding the shielding lines near to the signal lines of high-speed circuits is an efficient method to limit the inductive coupling and to reduce the crosstalk noise between signal lines [14]. The signal lines are generally shielded by the shielding lines which are biased at V DD or V SS. However, the coupling effect between the shielding and signal lines during CDM ESD event could induce large transient current to damage the core circuits [15,16]. The coupling effect between I/O line and core line during CDM ESD event can induce large transient current to damage the core circuit, even if the shielding line is inserted. In this paper, the CDM ESD events at core circuits due to coupling effects are investigated in a 65-nm CMOS process. 2. Test circuits To investigate the CDM ESD events at core circuits due to the coupling effects between I/O line and core line, the test circuit with and without shielding line is shown in Fig. 2. The I/O circuit realized with inverter is connected to the I/O pad through the I/O line with ESD protection device at the I/O pad. The ESD protection device is realized with the gate-grounded NMOS (GGNMOS) of 360 lm/0.12 lm (width/length). The power-rail ESD clamp circuit is included between V DD and V SS. The core circuits without (with) 0026-2714/$ - see front matter Ó 2012 Elsevier Ltd. All rights reserved. http://dx.doi.org/10.1016/j.microrel.2012.04.021

2628 C.-Y. Lin et al. / Microelectronics Reliability 52 (2012) 2627 2631 realized with metal 1 metal 6. The spacing between I/O line and core line is labeled as S, and it is split with 0.78 lm and 1.98 lm. The length of I/O line, core line, and shielding line are the same and labeled as L. The length of L is split with 20 lm, 50 lm, and 100 lm. The spacing between I/O line and the shielding line, the width of shielding metal, and the spacing between the shielding line and the core line are labeled as S1, S2, and S3, respectively. The S1 and S2 are kept at 0.3 lm and 0.18 lm, respectively, while the S3 is split with 0.3 lm and 1.5 lm in the test circuit with shielding line. The split conditions of the test circuits with different L, S, S1, S2, and S3 are summarized in Tables 1 and 2. Fig. 3 shows the layout top view of one set of test circuits (A5 and B5). Fig. 1. ESD protection design at I/O pad. 3. CDM ESD test results All test circuits have been fabricated in a 65-nm CMOS process with the thin-gate oxide of 20 Å. The test circuits with a die size of 2 1.5 mm 2 have been assembled in DIP-40-pin packages. The CDM ESD stresses are applied by the field-induced CDM tester. The electrostatic charges are stored into the IC, including P-substrate and V DD metal bus, and then discharging through a grounded metal probe. The failure criterion is defined as the I V characteristics seen at I/O pad shifting over 30% from its original curve after CDM ESD stressed at every test level. The measured CDM ESD robustness among these test circuits without and with shielding lines are listed in Tables 1 and 2, respectively. The negative CDM ESD robustness of all core circuits exceeded 600 V. The positive CDM ESD robustness of some core circuits without inserting the shielding line exceeded 600 V. Even if the metal length (L) of core circuits without inserting shielding is reduced, which leads to the lower impedance and higher overshoot voltage, the positive CDM ESD robustness can still achieve 400 V. However, the positive CDM ESD robustness of core circuits with inserting the shielding lines are seriously degraded to only 100 V. Fig. 2. Test circuit without (with) inserting shielding between I/O line and core line 1 (core line 2). inserting the shielding line between I/O line and core line 1 (core line 2) are arranged in parallel to the I/O circuit. The I/O line and core line are realized with metal 3, while the shielding line is 4. Failure analysis and discussion The leakage current of the test circuit will increase if the test circuit is failed after CDM ESD stresses. The scanning electron microscope (SEM) was used to find the failure location to cause the large leakage current. The SEM photo of the core circuit in test circuit A1 after 500-V CDM ESD test is shown in Fig. 4. Besides, the Table 1 CDM ESD robustness of test circuits without inserting the shielding line between I/O line and core line. Test circuit L (lm) S (lm) S1 (lm) S2 (lm) S3 (lm) Positive CDM ESD robustness a (V) Negative CDM ESD robustness a (V) A1 20 0.78 N/A N/A N/A 400 < 600 A2 20 1.98 N/A N/A N/A >600 < 600 A3 50 0.78 N/A N/A N/A 400 < 600 A4 50 1.98 N/A N/A N/A >600 < 600 A5 100 0.78 N/A N/A N/A >600 < 600 A6 100 1.98 N/A N/A N/A >600 < 600 a The CDM ESD test voltage was increased in 100-V step. Table 2 CDM ESD robustness of test circuits with inserting the shielding line between I/O line and core line. Test circuit L (lm) S (lm) S1 (lm) S2 (lm) S3 (lm) Positive CDM ESD robustness a (V) Negative CDM ESD robustness a (V) B1 20 0.78 0.3 0.18 0.3 100 < 600 B2 20 1.98 0.3 0.18 1.5 100 < 600 B3 50 0.78 0.3 0.18 0.3 100 < 600 B4 50 1.98 0.3 0.18 1.5 100 < 600 B5 100 0.78 0.3 0.18 0.3 100 < 600 B6 100 1.98 0.3 0.18 1.5 100 < 600 a The CDM ESD test voltage was increased in 100-V step.

C.-Y. Lin et al. / Microelectronics Reliability 52 (2012) 2627 2631 2629 Fig. 3. Layout top view of one test circuit for fabrication in a 65-nm CMOS process with 1-V devices. Fig. 4. SEM photo of core circuit 1 in test circuit A1 after 500-V CDM ESD test. Fig. 6. SEM photo of core circuit 2 in test circuit B6 after 200-V CDM ESD test. Fig. 5. SEM photo of core circuit 2 in test circuit B5 after 200-V CDM ESD test. SEM photos of the core circuits in test circuits B5 and B6 after 200- V CDM ESD tests are shown in Figs. 5 and 6, respectively. The failure points are located at the poly gates of transistors in core circuits. The similar phenomena are founded in the other test circuits. During negative CDM ESD stress, the negative charges located in P-substrate and V DD metal bus of core circuit 1 can be discharged through the ESD protection device at the I/O pad, V SS bus, and the power-rail ESD clamp circuit or the P+ pickup of NMOS in core circuit 1, as the current paths shown in Fig. 7a. Besides the core circuit 1, the main current discharging paths of negative charges located in P-substrate and V DD metal bus of core circuit 2 consist of the ESD protection device, C S1, V SS bus, and the power-rail ESD clamp circuit or the P+ pickup of NMOS in core circuit 2, as the current paths shown in Fig. 7b. Although the capacitive current paths (C S, C S1, and C S3 ) exist between the gate terminals of core circuits and the I/O pads, the main ESD currents will discharge through the low-impedance paths shown in Fig. 7a and b rather than the C S and C S3 to prevent the gate oxide of core circuits from breakdown under negative CDM ESD stresses. Therefore, the negative CDM ESD robustness of all core circuits exceeded 600 V. During positive CDM ESD stress, there are multiple current discharging paths from the core circuits to the grounded I/O pad, as shown in Fig. 8a and b. The positive electrostatic charges located in P-substrate and V DD metal bus can be conducted through the P+ pickup of NMOS in core circuits or power-rail ESD clamp circuit into the V SS bus, and then discharged through the ESD protection device or C S1 to external ground, as the dashed lines shown in Fig. 8a and b. However, if the power-rail ESD clamp circuit is located far from the I/O pad, the CDM current will not be efficiently discharged through this path. The positive electrostatic charges located in P-substrate and V DD bus may also be conducted through the gate oxide in core circuits to damage the PMOS and NMOS. Without inserting the shielding line, the coupling current through C S is less. Therefore, only some damages are found at the PMOS and NMOS in core circuit 1 after higher voltage CDM tests, as shown in Fig. 4. After inserting the shielding lines, the coupling effects of C S1 and C S3 are increased. If the C S3 is large enough, the current path consisted of the gate oxide of PMOS, C S3, and ESD protection device or C S1 will be more destructive. This discharging current causes the damage on PMOS of core circuit 2, as shown in Fig. 5. If only the C S1 is increased, the current path consisted of the gate oxide of PMOS, gate oxide of NMOS, P+ pickup of NMOS, and C S1 will also be destructive. The damages of core circuit 2 are located at both PMOS and NMOS, as shown in Fig. 6. Therefore, the positive CDM ESD robustness of core circuits with inserting the shielding line are only 100 V in the test circuits. In contrast, the negative CDM ESD robustness was not degraded by inserting the shielding line in chip layout, as compared in Tables 1 and 2. To prevent from ESD damage at core circuits, ESD protection devices are also needed for core circuits which are close to the I/O circuits, as shown in Fig. 9.

2630 C.-Y. Lin et al. / Microelectronics Reliability 52 (2012) 2627 2631 Fig. 7. (a) Core circuit 1 and (b) core circuit 2 under negative CDM ESD event. Fig. 8. (a) Core circuit 1 and (b) core circuit 2 under positive CDM ESD event. suffers from CDM ESD issue due to the coupled current when I/O circuit is stressed by CDM ESD. The coupling effect during CDM ESD event is even critical to induce larger transient current to damage the core circuits, after inserting the shielding line between I/O line and core line. The failure mechanism is also addressed in this paper. This work can help foundries or IC design houses to improve their IC products with better CDM ESD robustness. Acknowledgments 5. Conclusion Fig. 9. Core circuit with ESD protection device. In this paper, the CDM ESD issue at core circuit which is not connected to I/O pad has been addressed. The CDM ESD tests are performed to several test circuits fabricated in 65-nm CMOS process. The measured results show that the core circuit also This work was supported by National Science Council, Taiwan, under Contract NSC 100-2221-E-009-048, and by the Aim for the Top University Plan of National Chiao-Tung University and Ministry of Education, Taiwan. References [1] Voldman S. ESD circuits and devices. John Wiley & Sons; 2006. [2] Amerasekera A, Duvvury C. ESD in silicon integrated circuits. Berlin: John Wiley & Sons; 2002.

C.-Y. Lin et al. / Microelectronics Reliability 52 (2012) 2627 2631 2631 [3] Ker M-D, Chen T-Y, Wu C-Y, Chang H-H. ESD protection design on analog pin with very low input capacitance for high-frequency or current-mode applications. IEEE J Solid-State Circ 2000;35(8):1194 9. [4] Ker M-D. Whole-chip ESD protection design with efficient VDD-to-VSS ESD clamp circuits for submicron CMOS VLSI. IEEE Trans Electron Dev 1999;46(1):173 83. [5] Electrostatic Discharge (ESD) Sensitivity Testing Human Body Model (HBM). JEDEC Standard. [6] Electrostatic Discharge (ESD) Sensitivity Testing Machine Model (MM). JEDEC Standard. [7] Field-induced charged-device model test method for electrostatic-dischargewithstand thresholds of microelectronic components. JEDEC Standard. [8] Ker M-D, Peng J-J, Jiang H-C. ESD test methods on integrated circuits: an overview. In: Proceedings of the IEEE international conference on electronics, circuits, and systems; 2001. p. 1011 4. [9] Galy P, Dudit S, Vallet M, Larre P, Bilinski M, Petit E, et al. Inventory of silicon signatures induced by CDM event on deep sub-micronic CMOS BICMOS technologies. Microelectron Reliab 2010;50(9 11):1388 92. [10] Olson N, Jack N, Shukla V, Rosenbaum E. CDM-ESD induced damage in components using stacked-die packaging. In: Proceedings of the IEEE custom integrated circuits conference; 2011. [11] Lin C-Y, Ker M-D. CDM ESD protection design with initial-on concept in nanoscale CMOS process. In: Proceedings of the IEEE international symposium on physical and failure analysis of integrated circuits; 2010. p. 193 6. [12] Lee J, Shih J, Guo S, Yang D, Chen J, Su D, Wu K. The study of sensitive circuit and layout for CDM improvement. In: Proceedings of the IEEE international symposium on physical and failure analysis of integrated circuits; 2009. p. 228 32. [13] Chen S-H, Ker M-D. Active ESD protection circuit design against chargeddevice-model ESD event in CMOS integrated circuits. Microelectron Reliab 2007;47(9 11):1502 5. [14] Ogasahara Y, Hashimoto M, Onoye T. Measurement and analysis of inductive coupling noise in 90 nm global interconnects. IEEE J Solid-State Circ 2008;43(3):718 28. [15] Ker M-D, Lin C-Y, Chang T-L. Impact of shielding line on CDM ESD robustness of core circuits in a 65-nm CMOS process. In: Proceedings of the IEEE international reliability physics symposium; 2011. p. 717 8. [16] Chen T, Ito C, Loh W, Wang W, Doddapaneni K, Mitra S, et al. Design methodology and protection strategy for ESD-CDM robust digital system design in 90-nm and 130-nm technologies. IEEE Trans Electron Dev 2009;56(2):275 83.