Die Thickness Effects in RF Front-End Module Stack-Die Assemblies

Size: px
Start display at page:

Download "Die Thickness Effects in RF Front-End Module Stack-Die Assemblies"

Transcription

1 Die Thickness Effects in RF Front-End Module Stack-Die Assemblies By Kai Liu*, YongTaek Lee, HyunTai Kim, Gwang Kim, Robert Frye**, Hlaing Ma Phoo Pwint***, and Billy Ahn * STATS ChipPAC, Inc West Greentree, Ste. 117 Tempe, AZ USA *** STATS ChipPAC, Singapore 5 Yishun Street 23 Yishun , Singapore ** RF Design Consulting, LLC 334 B Carlton Avenue Piscataway, NJ 885 USA STATS ChipPAC, Ltd. San Ami-ri Bubal eup Ichon-si Kyonggi-do, Korea Copyright 21. Reprinted from 21 Electronic Components and Technology Conference (ECTC) Proceedings. The material is posted here by permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any STATS ChipPAC Ltd s products or services. Internal or personal use of this material is permitted, however, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or distribution must be obtained from the IEEE by writing to pubs-permission@ieee.org. By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

2 Die Thickness Effects in RF Front-End Module Stack-Die Assemblies Kai Liu*, YongTaek Lee, HyunTai Kim, Gwang Kim, Robert Frye**, Hlaing Ma Phoo Pwint***, and Billy Ahn * STATS ChipPAC, Inc West Greentree, Suite 117 Tempe, Arizona 85284, USA Tel: kai.liu@statschippac.com ** RF Design Consulting, LLC 334 B Carlton Avenue Piscataway, NJ 885 USA bob@rfdesignconsulting.com *** STATS ChipPAC, Singapore. 5, Yishun Street 23 Yishun Zip , Singapore maphoopwint@statschippac.com STATS ChipPAC, Ltd. San Ami-ri Bubal eup Ichon-si Kyonggi-do, Korea yongtaek.lee@statschippac.com Abstract We have investigated the impact of die thickness on integrated passive device (IPD) performance both in simulation and from measurement for RF stack-die applications. Our simulation approach accurately predicts the behavior of the IPD in such stack-die configuration. This should help us to generate guidelines for RF integrated passive devices to be used in 3D stack-die packages. interference between dies may cause malfunction, and therefore packaging solutions should be investigated or codesigned with transceiver designs. In a stack-die package, if one chip is an RF transceiver, (for example), and another is a digital or analog baseband chip, it is likely that the RF chip (victim) will be the impacted by the signal interference from the other chip (aggressor). INDUCTOR CAPACITOR RESISTOR Introduction In RF communication systems, between antennas and transceivers there are switches, filters, baluns, and matching circuits (so called front-end-modules). Traditional implementation of front-end modules (FEM) is to have transceiver packages, and other surface-mount components (for switches and passives, for example) assembled on a system board. A more integrated solution is to use a laminate or LTCC substrate to implement all or some of the above active and passive components in one single package system in package (SiP). RF transceivers are mainly made from CMOS technology, while most RF switches are still made from GaAs technology and can not be made along with transceivers for performance reasons. For RF circuits, quality-factors (Q) of passives (inductors, for example) play significant roles in their electrical performance, and therefore passive components (RCL and functional blocks, such as filters and matching circuits) are intentionally made off-chip, through various options from LTCC, laminate, silicon, GaAs or glass substrates[1-4]. While side-by-side approaches are commonly adopted in SiP or MCM applications, stacked-die and 3D wafer-level packaging solutions are attracting attention. 3D assemblies are rapidly evolving into a promising new field, because they may significantly reduce the package footprint and cost by using interconnection through vertical dimension (Z-direction). However, when multiple dies are stacked in Z-direction, there may be strong electrical coupling between them since their separations are much shorter or less than those in side-byside configurations. For stack-die RF packages, electrical M3 M3 M3 oxide M1 silicon substrate TaSi nitride PI-2 PI-1 nitride TaSi Figure 1. Thin-film integrated passive device (IPD) structure (illustration not in scale). However, if both chips in a stack-die package have RF functions, either chip can be both aggressor and victim. We have investigated a case, in which the top die is an RF IPD chip and the bottom die is an RF transceiver chip (in flip-chip format) made from CMOS technology. In this study, we examine the case, in which the IPD is a victim impacted by a CMOS chip substrate. The tested CMOS wafer in the stack-die configuration was selected from a regular p-type CMOS wafer with resistivity of around 1. Ohm-cm to 1. Ohm-cm. To represent the actual stack-up of the package, the CMOS wafer was facing down with the metal patterns on the bottom side. The IPD wafer was then stacked onto the CMOS wafer for subsequent probing measurement. Design for Stacked Die Package 1) Silicon IPD Process In STATS ChipPAC s silicon IPD process, a specially treated silicon substrate is used to support dielectric layer and metal layers. There are three metal layers (M1,, and M3) /1/$ IEEE Electronic Components and Technology Conference

3 and two dielectric layers (PI-1, and PI-2) in the cross section as shown in Figure 1. Layers M1 and are used to form MIM capacitors and their thickness are 1. um and 3. um, respectively. The capacitance density is 33 pf/mm 2 from this process. This density is high enough for making capacitors for RF applications (typically less than few tens of pf), but may be not enough for decoupling applications. The layer M3 is made of thick copper, and inductors are implemented in this layer. For RF inductors (typically inductance value less than 3. nh), the Q factors achieved from this process are around 25. to 35., depending on inductance values. Both wire-bonding and flip-chip type IPDs can be made from this process. Typical finished thickness of the wafer is around 25. m or larger, and the actual circuit elements (RCL) are implemented in the top 1% area of the silicon Switch substrate. With this physical cross section, the Q factor and inductance will be slightly different for an inductor in wirebonding and flip-chip configurations, which has to be considered in IPD design. The process tolerance/variation is relatively small, compared to a thick-film (LTCC, for example) technology, which is used for conventional passive components in the industry. Highly repeatable passive circuits (filter, balun, matching, etc) for RF applications can be made through this IPD technology [6-8]. IPD RFIC Laminate Substrate SMD Figure 2. Illustration of stack-die package using IPD. h1 h h2 inductors is determined by many aspects, such as the IPD thickness, and the other die s thickness. Figure 2 shows a stack-die package example, where an IPD chip is stacked on to a transceiver chip (RFIC), and the transceiver chip is in flip-chip format. In this case, the distance to ground plane is the sum of the flip-chip bump height, transceiver thickness, and the IPD thickness (h+h1+h2). To achieve certain total package height, the elevation (h+h1+h2) of the IPD inductors in this package is chosen as around 42. m. The over-mold material is also considered in the electromagnetic (EM) simulation set up. A BPF working at 2.5 GHz is used in this work. The topology is shown in Figure 3, and the circuit element values are listed in Table I. Table I. LC values for the filter components (in pf or nh) and coupling coefficients. L A L B L C C A C B C C k AB k BC k AC The initial LC components based on the values in the table above were created using a GDS tool generator, which complies with the design rules. After some interconnection and a co-planar ground were added to form a functional block, an EM simulation tool was used to simulate the response from the layout. Due to the parasitic and the coplanar ground, the initial EM response altered from the A B C d2 d3 d3 d2 d1 Figure 3. Circuit topology for a balanced band-pass filter. 2) IPD Design In an IPD functional block, there are inductors and capacitors. For RF applications, inductor s performance is impacted by metal patterns or ground planes nearby. In a stack-die package, the ground plane location for IPD Figure 4. BPF with (bottom) and without (top) coplanar ground. expected response to some extent. Some internal ports were assigned on the electrodes of capacitors for tuning. The separation/distance between the three inductors used in this device also plays a role in the fine tuning process of its performance. The distances d1, d2 and d3 control coupling strength and therefore the pass-band and stop-band performance. From the circuit topology, the coupling (k AC ) Electronic Components and Technology Conference

4 between LA an LC is very weak, and from EM simulation the distance between LA and LC (d3) is not sensitive to this device s performance. Usually it takes 3-4 iterations to tune H=15; 25; 42; 75um E9 4.E9 6.E9 8.E9 1.E1 between the three inductors. In principle, narrow band response is expected from this device. Due to the symmetric design in layout (Figure 4), the two output ports connecting the two electrodes of the output capacitor (Cc) have almost perfect balanced properties. The wafer raw thickness is about 75. m before backgrinding. After the IPD wafer was made, probing measurement on the devices above was conducted. Then the wafer was then back-ground to about 425. m (17 mils) and re-measured. Finally, the wafer was back-ground to 15. m thickness. For a small IPD (like a LPF), there is almost no noticeable difference in the pass band performance for thickness variations like these. H=15; 25; 42; 75um -1 2.E9 3.E9 3.5E9 Figure 5. Simulated response of IPD with different substrate thickness. the performance back to meet electrical specifications. Detail scheme for designing this BPF can be found in [9]. Design of this device adopts weak coupling scheme E9 4.E9 6.E9 8.E9 1.E1 R=5; 1;.1;.1 Ohm-cm R=5; 1;.1;.1 Ohm-cm -1 2.E9 3.E9 Figure 6. Simulated response of IPD being stacked on to a CMOS chip with different substrate resistivity. Top Wafer (IPD) Bottom Wafer (CMOS) Figure 7. An IPD wafer (half) stacked on to a flipped CMOS wafer. However, for a large IPD (like this BPF), the performance difference is significant, and is sufficient to make difference between a workable and non-functional IPD. As can be seen in Figure 5, the performance of samples of 42. m and 75. m wafer thicknesses both cover the pass band well. But the performance from the sample of 15. m wafer thickness is impacted negatively, resulting in high insertion loss at the low side of the pass band. It is concluded that this BPF made with 15. m thickness (for example, putting it directly on a laminate substrate) will not have good electrical performance. In a stack-die packaging scenario, when this IPD is placed over another CMOS die, the electrical characteristics of the CMOS substrate may also have an impact on the IPD performance. For a typical p-type CMOS wafer used for making RF transceiver, the substrate resistivity is typically in 1. Ohmcm to 1. Ohm-cm range. Using the substrate resistivity of this range, we find there is almost no impact on the IPD s performance. Only when the substrate is nearly conductive (in very low resistivity), it starts to have considerable negative impact on the IPD s performance (mainly on insertion loss). Figure 6 shows the performance trend with the CMOS substrate resistivity on a BPF (in 15. m thickness) stacked on to a CMOS chip (in 27. m thickness). For the CMOS Electronic Components and Technology Conference

5 wafer resistivity from 1. Ohm-cm to 1. Ohm-cm, there is no appreciable impact on the IPD s electrical response. When the silicon substrate resistivity changes from 5. Ohm-cm to.1 Ohm-cm, the insertion loss at 2.5 GHz degrades from db to -6.5 db. Characterization for Stacked Die Package Wafers with some probable IPDs were made in raw substrate thickness (~75. m). Then they were back-ground to about 42. m, and 15. m thickness. The probing measurements were taken at each wafer thickness level (75. m, 42. m, and 15. m), in order to characterize the IPD s performance with IPD die thickness. For the stack-die probing measurement, an IPD wafer in 15. m thickness was used for the top wafer. A CMOS wafer (having resistivity around 1. Ohm-cm to 1. Ohmcm) with some metal patterns already deposited was used for the bottom wafer. Figure 7 shows the IPD wafer (in thickness of 15. m, partially cut) sitting on top of a CMOS wafer (in thickness of 27. m) for probing measurement. The IPD wafer was cut to half for the measurement due to some warpage resulted in from the thin IPD wafer (15. m). Tapes were used to stick these two wafers during the measurement. The CMOS wafer was facing down to represent the packaging scenario where a RF transceiver (bottom die) is in flip-chip configuration. The characterization scheme in this paper is summarized in Table II. Table II Characterization scheme. IPD Thickness ( m) 15 (#1), 42 (#2), 75 (#3) CMOS Die Thickness ( m) 27 (metal facing down, #4); 27 (metal facing up, #5) #1 IPD thickness effect #2 IPD thickness effect #3 IPD thickness effect #1 + #4 CMOS die effect #1 + #5 CMOS die effect A small IPD (low pass filter) in size of around 1. mm x 1. mm was used in this characterization. Probing measurement was conducted on the IPD in different die thickness (wafer thickness). As expected from simulation, there is no appreciable difference on the electrical performance with different IPD die thickness (measured response not shown). The 15. m thickness small IPD was also stacked onto a CMOS die and then probed. It is noted that adding a CMOS chip under this small IPD does not change its electrical behavior, as the CMOS chip is just making a secondary impact. A little larger device (a bandpass filter-bpf) in size of about 2. mm x 1.2 mm was used for the characterization too. db(s(7,8)) db(s(4,5)) db(s(1,2)) m16 2.5GHz db(s(1,2))=-6.44 db(s(7,8)) db(s(4,5)) db(s(1,2)) H=15; 42; 75um m17 2.5GHz db(s(4,5))= m17 m18 m16 m18 2.5GHz db(s(7,8))= Figure 9. Measured electrical responses. Red: 15. m wafer. Blue: 42. m wafer. Pink: 75. m wafer. Figure 8. Micrograph of the fabricated BPF with G-S- G probable pads. In this device, there are three inductors. The outer inductor has relatively big size/inner diameter (about 8. m). Theoretically, performance of a larger inductor is more impacted by a ground plane location than a smaller inductor. But quantitative analysis can be only obtained from EM simulation or experiment. Figure 8 is a micrograph of the BPF. The intrinsic size of the IPD (excluding the G-S-G probing pads) is about 2. mm x 1.2 mm. From the measurement data (Figure 9), at 42. m and 75. m die thicknesses, the performance is almost identical. But at 15. m die thickness, the low side of the pass band response is deteriorated very much, and unacceptable insertion loss is seen. In other words, the IPD in 15. um thickness alone does not have good response. This validates the trend obtained from simulation Electronic Components and Technology Conference

6 The 15. m thick IPD (wafer) was then stacked onto a CMOS chip (wafer). The CMOS wafer had been backgrinded to about 27. m. Therefore the ground plane for the IPD inductors is about =42. m. Figure 1 shows the results of this BPF in the stacked configuration. Importantly, the 15. m thick IPD, once being staked onto a CMOS die (in 27. m thickness), retrieves it good behavior as this IPD being 42. m thick alone. Another test was also conducted to see the effect when the bottom die (wafer) was facing up, which application could be represented by a wire-bonding CMOS die (bottom die) in a stack-die package. The electrical response from both facingup and facing-down configurations for the bottom die is depicted in Figure 11 for comparison. As shown in this figure, the difference between these two cases is significant (more than 1.5 db insertion loss difference!). The response from the lower side of the pass-band is severely impacted, mainly due to the presence of the metal patterns on the bottom CMOS die. It is concluded that the bottom die configuration (either wire-bonding or flip-chip) should be taken into account in early packaging design stages, and simply using the IPD design of flip-chip for wire-bonding configuration, or vice versa, would not achieve the expected electrical response. Besides experimental characterization, we have also simulated the IPD s electrical performance. In Figure 12, simulation and measurement for the BPF with 42. m Differential Mode (db) Retuen Loss (db) -1 m3 2.46GHz db(s(1,2))= m4 m3 m4 2.46GHz db(s(4,5))= Figure 11. CMOS die impact on IPD performance (measured). Red: bottom die with metal patterns facing up. Blue: bottom die with metal patterns facing down. m1 2.5GHz db(s(1,2))= m2 2.5GHz db(s(4,5))= m1 m2 Differential Mode E9 4.E9 6.E9 8.E9 1.E1 Differential Mode (db) m3 2.46GHz db(s(1,2))=-2.45 m3 m4 m4 2.46GHz db(s(4,5))= Single-end Return Loss Retuen Loss (db) E9 2.E9 3.E9 4.E Figure 1. Measured electrical responses. Blue: 15. m IPD wafer m CMOS wafer. Red: 42. m IPD wafer alone. Figure 12. Comparison between simulation and measurement on a 42. um thick IPD. Red: simulation. Blue: measurement Electronic Components and Technology Conference

7 thickness is compared. Excellent agreement between simulation and measurement is achieved. Simulations with other parameters, such as IPD die s thickness and CMOS die s presence are also carried out, and they all have good agreements with the measurement. Conclusions Several IPD candidates on the wafer of 15. m thickness were used for this stack-die packaging characterization. For some small IPDs in size less than 1. mm x 1. mm, such as LPF and balun, we found that the thickness of the CMOS die (bottom die) makes no appreciable difference on IPDs electrical behaviors. However, for some large IPD (2. mm x 1.2 mm, for example) with large inductor coils, the CMOS die thickness does have a noticeable impact on the IPDs performance, resulting in characteristics ranging from workable to failed against the specifications. In a stack-die package design, the elevation (distance to GND) of IPD inductors has to be taken into account, and a budget arrangement of package height in early design stages may be needed for IPDs with large inductor coils used in such stack-die package. Acknowledgments The authors acknowledge Padmanathan Meenakshi and Ma L Nang Htoi for their efforts in the sample fabrication and the measurement preparation for this work. References 1. Topper, Michael, etal, Low Cost Wafer-level 3D Integration without TSV, Proc 29 Electronic Components and Technology Conf, June 29, pp Zoschke, K., etal, Copper/Benzocyclobutene Multi Layer Wireing A Flexible Base Technology for Wafer level Integration of Passive Components, Proc 27 Electronics Packaging and Technology Conf, Dec. 27, pp Kripesh, K., etal, Design and Development of Multi Die Embedded Micro Wafer Level Package, Proc 28 Electronic Components and Technology Conf, pp Kumar, Aditya, etal, Wafer Level Embedding Technology for 3D Wafer Level Embedded Package, Proc 29 Electronic Components and Technology Conf, June 29, pp Liu, Kai and Frye, Robert, Full-circuit design optimization of a RF silicon integrated passive device, Proc 15 th IEEE Topical Meeting on Electrical Performance of Electrical Packageing (EPEP), Scottdale, AZ, Oct. 26, pp Liu, Kai; Frye, Robert; and Emigh, Roger, Compact Balanced Bandpass Filter for 3.3GHz 3.9GHz WiMAX Applications, Proc 29 Electronic Components and Technology Conf, June 29, pp Frye, Robert; Liu, Kai and Lin, Yaojian, Three stage bandpass filters implemented in silicon IPD tecjnology using magnetic coupling between resonators, Digest of 28 IEEE MTT-S International Microwave Symposium, June 28, pp Liu, Kai; Frye, Robert, and Emigh, Roger, Miniaturized ultra-wideband bandpass filter from silicon integrated passive device technology, Digest of 29 IEEE MTT-S International Microwave Symposium, June 29, pp Liu, Kai; Frye, Robert, and Billy Ahn, High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology, To be published in 21 IEEE MTT-S International Microwave Symposium, May 23-28, Anaheim, CA Electronic Components and Technology Conference

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Next Generation High-Q Compact Size IPD Diplexer for RF Frond End SiP

Next Generation High-Q Compact Size IPD Diplexer for RF Frond End SiP 2017 IEEE 67th Electronic Components and Technology Conference Next Generation High-Q Compact Size IPD Diplexer for RF Frond End SiP Sheng-Chi Hsieh, Pao-Nan Lee, Hsu-Chiang Shih, Chen-Chao Wang, Teck

More information

ASPICS IPD Companion for ISM Transceiver IC: RF front end part

ASPICS IPD Companion for ISM Transceiver IC: RF front end part IPD Companion for ISM Transceiver IC: RF front end part Rev 1.2 TD Introduction The ASPICS320.606 is an integrated passives device specifically designed for use with the SEMTECH SX1211 868/915MHz ISM/UHF

More information

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution by Seung Wook Yoon,*Patrick Tang, **Roger Emigh, Yaojian Lin, Pandi C. Marimuthu, and *Raj Pendse STATS

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution by Jacinta Aman Lim and Vinayak Pandey, STATS ChipPAC, Inc. Aung Kyaw Oo, Andy Yong, STATS ChipPAC Pte. Ltd. Originally published

More information

High performance and high reliability passives for miniature medical devices based upon Silicon technologies. Laurent Dubos INEMI May 2011

High performance and high reliability passives for miniature medical devices based upon Silicon technologies. Laurent Dubos INEMI May 2011 High performance and high reliability passives for miniature medical devices based upon Silicon technologies Laurent Dubos INEMI May 2011 IPDIA overview Company located in Caen, Normandy, France Started

More information

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Minapad 2014, May 21 22th, Grenoble; France Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Stéphane Bellenger, Laëtitia Omnès, Jean-René

More information

Next Generation ewlb (embedded Wafer Level BGA) Packaging

Next Generation ewlb (embedded Wafer Level BGA) Packaging Next Generation ewlb (embedded Wafer Level BGA) Packaging by Meenakshi Prashant, Kai Liu, Seung Wook Yoon Yonggang Jin, Xavier Baraton, S. W. Yoon*, Yaojian Lin*, Pandi C. Marimuthu*, V. P. Ganesh**, Thorsten

More information

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology Jacinta Aman Lim, Vinayak Pandey* STATS ChipPAC Inc. 46429 Landing Parkway, Fremont, CA 94538, USA *STATS ChipPAC

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012 EPRC 12 Project Proposal 3D Embedded WLP 15 th August 2012 Motivation Factors driving IC market Higher density, lower cost, high yield Fan-out WLP/eWLP advantages Small footprint, low profile Low cost,

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions by Seung Wook Yoon and Meenakshi Padmanathan STATS ChipPAC Ltd. Seungwook.yoon@statschippac.com Andreas Bahr Infineon

More information

The 3D Silicon Leader. Company Presentation. SMTA Houston, 14th March 2013

The 3D Silicon Leader. Company Presentation. SMTA Houston, 14th March 2013 The 3D Silicon Leader Company Presentation SMTA Houston, 14th March 2013 Who are we? Independent Company located in Caen, Normandy, France Dedicated to manufacturing of leading edge Integrated Passive

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader TSV technology embedding high density capacitors for advanced 3D packaging solutions IMAPS Device Packaging Conference 2014 Catherine Bunel 2014.03.12 Outline Introduction IPDiA s

More information

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards Low Temperature Co-fired Ceramics () Multi-layer Module Boards Example: Automotive Application Example: Communication Application Murata's Low Temperature Co-fired Ceramics offer highly integrated substrates

More information

Narrowing the Gap between Packaging and System

Narrowing the Gap between Packaging and System Narrowing the Gap between Packaging and System Meptec Symposium 2015 ASE (US) Inc Ou Li Nov 10 th, 2015 Outline Industry Dynamics The Need for System Integrators IC/Pkg/System Collaboration Summary 2 Market

More information

The Development of a Novel Stacked Package: Package in Package

The Development of a Novel Stacked Package: Package in Package The Development of a Novel Stacked Package: Package in Package Abstract Stacked die Chip Scale Packages (CSPs) or Fine-pitch BGAs (FBGAs) have been readily adopted and integrated in many handheld products,

More information

Development of Next-Generation ewlb Packaging

Development of Next-Generation ewlb Packaging Development of Next-Generation ewlb Packaging by Seung Wook Yoon, Yaojian Lin, Pandi Chelvam Marimuthu and *Rajendra Pendse STATS ChipPAC Singapore *Fremont, California USA Ganesh V. P, Andreas Bahr and

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Version #1.0 Date: April 22, 2016 Project Leader: Billy Ahn, STATS ChipPAC Co-Project Leader: Anthony Yang, Moldex3D inemi Staff:

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Lead Free, Zero Shrink, Substrate Bonded LTCC System

Lead Free, Zero Shrink, Substrate Bonded LTCC System Lead Free, Zero Shrink, Substrate Bonded LTCC System R.L. Wahlers, A.H. Feingold and M. Heinz Electro-Science Laboratories, 416 E. Church Rd., King of Prussia, PA, 19406 Abstract Previous papers have reported

More information

BUILD TO PERFORMANCE RF Customized Ceramic Thin Film Filters

BUILD TO PERFORMANCE RF Customized Ceramic Thin Film Filters Vectron Thin Film Filters BUILD TO PERFORMANCE RF Customized Ceramic Thin Film Filters Anton Buchleitner, Vectron International VECTRON Thin Film Filters bandwidth / MHz Features: 1 1 1 1 1 1.1.1.1.1.1.1

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Development of System in Package

Development of System in Package Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article

More information

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

3D Integrated ewlb /FO-WLP Technology for PoP & SiP 3D Integrated ewlb /FO-WLP Technology for PoP & SiP by Yaojian Lin, Chen Kang, Linda Chua, Won Kyung Choi and *Seung Wook Yoon STATS ChipPAC Pte Ltd. 5 Yishun Street 23, Singapore 768442 *STATS ChipPAC

More information

Development of Super Thin TSV PoP

Development of Super Thin TSV PoP Development of Super Thin TSV PoP by Seung Wook Yoon, *Kazuo Ishibashi, Shariff Dzafir, Meenakshi Prashant, Pandi Chelvam Marimuthu and **Flynn Carson STATS ChipPAC Ltd. 5 Yishu n Street 23, Singapore

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry

Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry Randy Hahn, and Jonathan Paulsen KEMET Electronics Corp PO Box 5928 Greenville, SC 29606 Tel: +1 864-963-6300 Fax: +1 864-228-4333

More information

Chapter 11: Passives: Discrete, Integrated, and Embedded. Johan Liu

Chapter 11: Passives: Discrete, Integrated, and Embedded. Johan Liu Chapter 11: Passives: Discrete, Integrated, and Embedded Johan Liu jliu@chalmers.se 1 Types of Passive Components 2 Embedded passive devices: advantages Reduced system mass, volume and footprint. Individual

More information

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) 28nm Mobile SoC Copper Pillar Probing Study Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) Overview Introduction to IMC Copper Pillar Implementation at IMC Low force

More information

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar.

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar. DFM Stackup Considerations Part 4 Webinar August 2015 The following questions were asked during the webinar. Question / Comment Aren't the inner signal layer traces classified as embedded micro-strips

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING Amy Palesko SavanSys Solutions LLC Austin, TX, USA amyp@savansys.com ABSTRACT Although interest in wafer level packaging has

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**.

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**. Investigation of Silver Migration Impacts on Microwave Systems Fabricated on LTCC Substrate Under High-Power RF Excitation and High Temperature and Humidity Conditions. Deepukumar M. Nair*, K. M. Nair*,

More information

Non-Hermetic Packaging of RF Multi-Chip Modules

Non-Hermetic Packaging of RF Multi-Chip Modules Non-Hermetic Packaging of RF Multi-Chip Modules Matthew Gruber Lockheed Martin MST Moorestown, NJ 1 A Comment about this Presentation In accordance with ITAR restrictions, a few concessions had to be made

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology by J. Osenbach 1, S. Emerich1, L. Golick1, S. Cate 2, M. Chan3, S.W. Yoon 3, Y.J. Lin 4 & K. Wong 5, 1LSI Corporation

More information

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology by Kang Chen, Jose Alvin Caparas, Linda Chua, Yaojian Lin and *Seung Wook Yoon STATS ChipPAC Ltd. 5 Yishun Street

More information

ASPICS /5 IPD Companion for ISM Transceiver IC: Synthesis part

ASPICS /5 IPD Companion for ISM Transceiver IC: Synthesis part ASPICS320.604/5 IPD Companion for ISM Transceiver IC: Synthesis part Rev 1.2 TD General description Features The ASPICS320.604/605 are integrated passive devices specifically designed for use with the

More information

WIRE BOND CAPACITORS, RESISTORS & INTEGRATED PASSIVE COMPONENTS FOR CHIP & WIRE ASSEMBLY

WIRE BOND CAPACITORS, RESISTORS & INTEGRATED PASSIVE COMPONENTS FOR CHIP & WIRE ASSEMBLY WIRE BOND CAPACITORS, RESISTORS & INTEGRATED PASSIVE COMPONENTS FOR CHIP & WIRE ASSEMBLY ABOUT AVX COMPANY INFORMATION AVX is a leading international manufacturer and supplier of a vast portfolio of advanced

More information

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract Embedding components within the PC board

More information

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS Stresa, Italy, 26-28 April 2006 J. Iannacci 1,2, J. Tian 1, S.M. Sinaga 1, R. Gaddi 2, A. Gnudi 2, and M. Bartek 1 1) HiTeC-DIMES, Delft University of Technology, Mekelweg 4, 2628 CD Delft, the Netherlands

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape Beam Leads The vast majority of chips are intended for connection with thermosonic bonds: all other methods require some modification to the wafer. As early as 1972, Jordan described three gang-bonding

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application 2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package pplication Yoshiyuki Hakiri, Katsuhiro Yoshida, Shenghua Li, Makoto Kondoh, Shinjiro Hayashi The Dow Chemical

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Development of gold to gold interconnection flip chip bonding for chip on suspension assemblies

Development of gold to gold interconnection flip chip bonding for chip on suspension assemblies Microelectronics Reliability 42 (2002) 381 389 www.elsevier.com/locate/microrel Development of gold to gold interconnection flip chip bonding for chip on suspension assemblies C.F. Luk a,1, Y.C. Chan b,

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Features. = +25 C, 50 Ohm System

Features. = +25 C, 50 Ohm System 1 Typical Applications This is ideal for: Features Low Insertion Loss: 1.5 db Short Haul / High Capacity Radios Automotive Radar Test Equipment SATCOM Military Point-to-Point Radios Point to Multi-Point

More information

Features. = +25 C, 50 Ohm System

Features. = +25 C, 50 Ohm System Typical Applications This is ideal for: Features Low Insertion Loss: 2 db E-Band Communication Systems Short Haul / High Capacity Radios Automotive Radar Test Equipment SATCOM and Sensors Functional Diagram

More information

Features. = +25 C, 50 Ohm System

Features. = +25 C, 50 Ohm System Typical Applications This is ideal for: Features Low Insertion Loss: 2 db E-Band Communication Systems Short Haul / High Capacity Radios Automotive Radar Test Equipment SATCOM and Sensors Functional Diagram

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

ECE414/514 Electronics Packaging Spring 2012 Lecture 2. Lecture Objectives

ECE414/514 Electronics Packaging Spring 2012 Lecture 2. Lecture Objectives ECE414/514 Electronics Packaging Lecture 2 James E. Morris Dept of Electrical & Computer Engineering Portland State University Lecture Objectives Introduce first-level interconnect technologies: wire-bond,

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

CX Thin Fil s. Resistors Attenuators Thin-Film Products Thin-Film Services. ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant

CX Thin Fil s. Resistors Attenuators Thin-Film Products Thin-Film Services.   ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant CX Thin Fil s Resistors Attenuators Thin-Film Products Thin-Film Services www.cxthinfilms.com ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant www.cxthinfilms.com sales@cxthinfilms.com +1 (401) 461-5500

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Electromigration Measurements in Thin-Film IPD and ewlb Interconnections

Electromigration Measurements in Thin-Film IPD and ewlb Interconnections Electromigration Measurements in Thin-Film IPD and ewlb Interconnections by Robert Frye, Kai Liu*, KyawOo Aung**, and M. Pandi Chelvam** RF Design Consulting, LLC 334 B Carlton Avenue Piscataway, NJ 0885

More information

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Alternative Approaches to 3-Dimensional Packaging and Interconnection Alternative Approaches to 3-Dimensional Packaging and Interconnection Joseph Fjelstad SiliconPipe, Inc. www.sipipe.com IC Packaging a Technology in Transition In the past, IC packaging has been considered

More information

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 P M Raj, Himani Sharma, Kanika Sethi, Prof. Rao Tummala 3D Systems Packaging Research

More information

Dispersion Analysis of Finite Dielectric Coplanar Waveguide (FCPW) on Alumina and FR4 Substrate

Dispersion Analysis of Finite Dielectric Coplanar Waveguide (FCPW) on Alumina and FR4 Substrate Dispersion Analysis of Finite Dielectric Coplanar Waveguide (FCPW) on Alumina and FR4 Substrate Shanu Sharma #1, Alok Kumar Rastogi (FIETE) # 1, Gazala Parvin #1 #1 Institute for Excellence in Higher Education,

More information

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction 3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction Gilbert Lecarpentier*, Jean-Stéphane Mottet* SET S.A.S. (Smart Equipment Technology), 131 Impasse Barteudet, 74490

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter

3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter 3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter Presented by Rama Vetury Akoustis Technologies, Inc. Outline of this Presentation

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste SEMIKRON Pty Ltd 8/8 Garden Rd Clayton Melbourne 3168 VIC Australia Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste For some years now, the elimination of bond

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

NI AWR Design Environment

NI AWR Design Environment RF/Microwave EDA Software Suite ni.com/awr RF/Microwave EDA Software Suite Capabilities is an EDA software suite that provides RF/ microwave engineers with access to innovative high-frequency circuit,

More information

Embedded Passives..con0nued

Embedded Passives..con0nued Embedded Passives..con0nued Why Embedded Passives? Improves the packaging efficiency System-on-Package (SOP); SLIM integration Reducing size Eliminating substrate assembly Minimizing solder joint failure

More information

Microwave Design & Characterization of a Novel Nano-Cu Based Ultra-fine Pitch Chip-to-Package Interconnect

Microwave Design & Characterization of a Novel Nano-Cu Based Ultra-fine Pitch Chip-to-Package Interconnect Microwave Design & Characterization of a Novel Nano-Cu Based Ultra-fine Pitch Chip-to-Package Interconnect Tapobrata Bandyopadhyay 1, Gaurav Mehrotra 1, Mahadevan K. Iyer 2, P.M. Raj 1, Madhavan Swaminathan

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar,,, and SnPb Bump Structures Ahmer Syed, Karthikeyan Dhandapani, Lou Nicholls, Robert Moody, CJ Berry, and Robert Darveaux Amkor Technology

More information

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Semiconductor IC Packaging Technology Challenges: The Next Five Years SPAY025 May 2006 White Paper Mario A. Bolanos, Director Semiconductor Group Packaging Technology Development, Texas Instruments In the era of communications and entertainment, growth of consumer electronics

More information

TOWARD MEMS!Instructor: Riadh W. Y. Habash

TOWARD MEMS!Instructor: Riadh W. Y. Habash TOWARD MEMS!Instructor: Riadh W. Y. Habash Students are presented with aspects of general production and manufacturing of integrated circuit (IC) products to enable them to better liaise with and participate

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration 2017 IEEE 67th Electronic Components and Technology Conference First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan

More information

A Study of Microwave Behavior of a Thin-Print Gold Ink

A Study of Microwave Behavior of a Thin-Print Gold Ink A Study of Microwave Behavior of a Thin-Print Gold Ink By David J. Nabatian KOARTAN Microelectronic Interconnect Materials Mountain Ridge Business Park, Unit B2, 248 Sussex Tpk., Randolph, NJ 07869 Phone:

More information