RIE lag in diffractive optical element etching

Similar documents
A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

5.8 Diaphragm Uniaxial Optical Accelerometer

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment

Measurement of thickness of native silicon dioxide with a scanning electron microscope

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies

Thin. Smooth. Diamond.

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Midterm evaluations. Nov. 9, J/3.155J 1

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

SILICON carbide (SiC) is one of the attractive wide band

Isolation Technology. Dr. Lynn Fuller

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 September 4 October, 2013, Paris, France

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

EXTREMELY HIGH SELECTIVITY ETCHING OF GaAs/AlGaAs IN INDUCTIVELY COUPLED PLASMAS

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Etching Mask Properties of Diamond-Like Carbon Films

micro resist technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

micro resist technology

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Atomic Layer Deposition(ALD)

Semiconductor Technology

EECS130 Integrated Circuit Devices

Amorphous silicon waveguides for microphotonics

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Trench Structure Improvement of Thermo-Optic Waveguides

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

VLSI Technology. By: Ajay Kumar Gautam

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

Using colloidal lithography to fabricate and optimize sub-wavelength pyramidal and honeycomb structures in solar cells

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

THE last decades have seen an ever-increasing use of

Microwave dielectric properties and microstructures of MgTa 2 O 6 ceramics with CuO addition

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING

Chapter 3 Silicon Device Fabrication Technology

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

Processing guidelines

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Development of different copper seed layers with respect to the copper electroplating process

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Oxide Growth. 1. Introduction

Surface micromachining and Process flow part 1

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Cold-developed electron-beam-patterned Zep 7000 for fabrication of 13-nm nickel zone plates

ENS 06 Paris, France, December 2006

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Template fabrication schemes for step and flash imprint lithography

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

Ultra High Barrier Coatings by PECVD

Isolation of elements

Fabrication of sawtooth diffraction gratings using nanoimprint lithography

Materials Characterization

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

EE 434 Lecture 9. IC Fabrication Technology

Fabrication Technology

Regents of the University of California

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

Available online at ScienceDirect. Energy Procedia 44 (2014 )

Investigation of diamond deposition uniformity and quality for freestanding film and substrate applications

Paradee Leerungnawarat. Department of Materials Science and Engineering

Hybrid BARC approaches for FEOL and BEOL integration

Optimizing spray coater process parameters

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Plasma..TI'1eITI1 I.P.

HBr Etching of Silicon

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Transcription:

Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories, 1001-1 Ta Hsueh Road, Hsinchu 30050, Taiwan b Opto-Electronics & Systems Laboratories, Industrial Technology Research Institute, Bldg. 44, Q300 195, Chung Hsing Road, Section 4, Chutung, Hsinchu 31015, Taiwan c Department of Electronic Engineering, National Chiao-Tung University, 1001 Ta Hsueh Road, Hsinchu 30050, Taiwan Received 13 August 1999; accepted 17 October 1999 Abstract In this study, the pattern of a 4 mm diameter diffractive optical element with linewidth ranging from 12 to 0.5 mm was adopted. With the SiO2 layer as the etch hard mask, silicon was etched based on HBr chemistry with additions of O2 and SF 6. The effects of O2 content on the sidewall anisotropy, etch rate, and etch selectivity over the SiO2 mask were also examined. The RIE lag, where etching of a small trench (hole) lags behind a large trench (hole), was investigated to produce an optimum aspect ratio for each zone of the diffractive optical element. The regression relation of the etch depth versus zone width was determined as a guideline for the feasibility of this method. 2000 Elsevier Science B.V. All rights reserved. Keywords: RIE lag; Diffractive optical elements; Anisotropic etching 1. Introduction A diffractive optical element, e.g., diffractive microlens, is composed of zone structure by removing the multiple modulo 2p phase from the refractive lens technique. The diffraction efficiency is determined by the surface profile within a specified zone. However, the surface profile of this relief structure relies on the fabrication techniques, such as lithography, ion etching, etc. Due to the limitation of process equipment the diffraction efficiency of a binary surface profile is usually lower than the expected value. Specifically, the aspect ratio of each zone pitch is one of the main parameters determining the diffraction efficiency. How to control the aspect ratio under the limitation of various etching conditions is becoming a pursuing topic for most of the related research. In this paper, reactive ion etching (RIE) lag was used to obtain the existing optimum aspect ratio for each zone, i.e., the aspect ratio should be consistent throughout all zones. In other words, RIE lag may produce an *Corresponding author. E-mail address: jting@ndl.gov.tw (J.-H. Ting). 0167-9317/ 00/ $ see front matter 2000 Elsevier Science B.V. All rights reserved. PII: S0167-9317(99)00450-5

316 J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 optimum aspect ratio for each zone, then the diffraction efficiency of the diffractive lens can be optimized. Therefore, RIE lag where etching of a small trench (hole) lags behind a large trench (hole) [1,2] was investigated in this work. The regression relation of the etch depth versus zone width was determined as a guideline for the feasibility of this method. In this study, the pattern of a 4 mm diameter diffractive optical element with linewidth ranging from 12 to 0.5 mm was adopted. The silicon etching was based on HBr chemistry. 2. Experimental The experiments were performed in an electron cyclotron resonance (ECR) reactor on 150-mm diameter wafers. To etch silicon deeply, a 1.2-mm thick silicon dioxide layer was deposited via thermally wet oxidation as the etch hard mask. After the lithographic process, the oxide layer was etched with a CF / CHF /Ar mixture in a RIE process. Then, the photoresist was stripped. 4 3 Afterwards, the pattern was transferred to the silicon substrate by the etch process based on HBr chemistry with additions of O and SF. Finally, a 6:1 (NH F:HF)-buffered hydrofluoric acid dip was 2 6 4 carried out to remove the remaining SiO2 layer and the sidewall passivation film and the process was completed. Feature width and etch depth measurements were made by scanning electron microscopy (SEM). SEM was also exploited to evaluate the sidewall anisotropy and the quality of the etched surface. The SiO2 etch rate was determined from the thickness variation before and after silicon etching. Quoted etch rates were an average of several points across a wafer. The effects of O2 content on the sidewall anisotropy, etch rate, and etch selectivity over the SiO mask were explored. With appropriate O 2 2 concentration, SF6 was added to achieve vertical sidewall and smooth surface. A diffractive optical element, e.g., diffractive microlens, is composed of a zone structure, according to a standard modulo 2p phase technique to produce the zone structure. For example, the zone radius r of a zone plate is given by m 1/2 r 5 (2mlf ) (1) m where m is the zone index number, l is the illumination wavelength, and f is the focal length. The phase profile is approximated by a discrete stepped profile with rm getting narrower when close to the edge of diffractive microlenses. The diffraction efficiency of such a lens is strongly dependent on the profile of each zone pitch [3,4]. Specifically, the aspect ratio of each zone pitch, i.e., the ratio of depth/line width [5]. In other words, there is existing an optimum aspect ratio for each zone, i.e., the aspect ratio should be consistent throughout all zones. In our system, the aspect ratio of each zone of the diffractive lens is changing for fixed etch depth; therefore, the diffraction efficiency cannot be optimized if no RIE lag occurs. On the other hand, RIE lag may produce an optimum aspect ratio for each zone, then the diffraction efficiency of diffractive lens can be optimized. However, in this approach, various etch depths are required with the largest depth in the center and decreasing toward the boundary. Specifically, this leads to a lessening of the disadvantages of binary optics [6,7] for low diffraction efficiency. Therefore, RIE lag where high aspect ratio features have reduced etch rates [1,2] was investigated in this work. The regression relation of the etch depth versus zone width was determined as a guideline for the feasibility of this method. In this study, the pattern of a 4-mm diameter diffractive optical element with linewidth ranging from 12 to 0.5 mm was adopted.

3. Results and discussion J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 317 With the SiO2 layer as the etch hard mask, silicon was etched by a bromine-based plasma. The etching parameters were as following: microwave power, 250 W; RF power, 90 W; He gas cooling, 10 sccm; magnet main, sub, 21, 11 A; pressure, 3.3 mtorr for a total flow rate of 100 sccm, 2.2 mtorr for a total flow rate of 60 sccm. The etch rates were calculated based on 10-min etching and averaged over the entire etch time. As depicted in Fig. 1, the etch rate of silicon strongly depends on the percentage of O in HBr while that 2 of SiO is weakly dependent on the O content. A small amount of oxygen (2%) enhances the 2 2 concentration of etching species and thus increases the silicon etch rate. Nevertheless, the addition of 5% O2 creates too much oxide on the sidewall and bottom surface and results in the dramatic decrease in the etch rate. This leads to the decrease of etch selectivity of silicon over SiO with the O 2 2 addition. Accordingly, 2% oxygen content recipe was selected for further improvement of the sidewall anisotropy with the SF6 addition. Fig. 2 shows the SEM micrographs of the etch profiles for various gas compositions. The feature size and etch depth are also displayed at the bottom of the figures. It was found through experimentation that a mixture of HBr/O 2/SF6595/2/3 sccm can yield a high degree of anisotropic etching with a good quality of etching surface. To investigate the RIE lag effect, the diffractive optical element etching was conducted for a long duration. Fig. 3a illustrates the results of a 15-min etching with a total flow rate of 100 sccm corresponding to a pressure of 3.3 mtorr. Anisotropic etch profile is preserved. However, as presented in Fig. 3b, the sidewall of submicron features has concave contour near the top surface when the etch depth exceeds about 5 mm. This is caused by the deflected ions. As a result, the total flow rate was reduced to 60 sccm to decrease the pressure to 2.2 mtorr. Although the etch rate diminishes, the vertical sidewall recovers, as shown in Fig. 3c. With depths and feature widths measured by SEM, Fig. 4a,b demonstrates the variation of depth with linewidth for total flow rates of 100 and 60 sccm, respectively. Since all Si zones were etched in a single run, one can interpret the depth variation as an etch rate variation, which increases with the linewidth. It is found that the depth variation is significant for submicron features while no notable depth change is observed for features above 3 mm. It also reveals that RIE lag will not occur until the aspect ratio is greater than about two. To obtain the regression relation of the etch depth versus zone width, a fourth-order polynomial was assumed: 2 3 4 d(w) 5 A 1 B*w 1 C*w 1 D*w 1 E*w (2) where d and w are etch depth and zone width in mm, respectively. The results for each condition are demonstrated in Table 1. Then, the equation is valid through the zone width of about 2.5 mm. It is discovered that the coefficients E of the fourth-order terms are very small compared to other coefficients. Thus, the data points can be fitted by a third-order polynomial in w. 4. Conclusions With the SiO2 layer as the etch hard mask, silicon was etched by a HBr-based chemistry. A small amount of oxygen (2%) increases the silicon etch rate while the addition of 5% O2 results in a dramatic decrease in the etch rate, and the etch rate of SiO2 is weakly dependent on the O2 content.

318 J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 Fig. 1. The effect of O2 content in HBr on the etch rate and selectivity (total flow rate, 100 sccm). (a) Etch rate of silicon and silicon dioxide and (b) etch selectivity of silicon over silicon dioxide. This leads to a decrease of etch selectivity of silicon over SiO2 with the O2 addition. A high degree of anisotropic etching of silicon can be achieved by a mixture of HBr/O 2/SF6595/2/3 sccm. To ensure vertical sidewalls for submicron features with depth larger than 5 mm, working pressure needs to be reduced, which is realized by decreasing the total flow rate to 60 sccm.

J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 319 Fig. 2. Etch profile for various gas compositions: (a) HBr5100 sccm, (b) HBr/O 598/2 sccm and (c) HBr/O /SF 595/ 2 2 6 2/3 sccm.

320 J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 Fig. 3. Etch profile at different etch times and total flow rates: (a) HBr/ O / SF 595/ 2/ 3 sccm, time515 min, (b) 2 6 HBr/O /SF 595/2/3 sccm, time520 min; and (c) HBr/O /SF 557/1.2/1.8 sccm, time520 min. 2 6 2 6 RIE lag was observed for an aspect ratio greater than about two. It was found that the lag effect is significant for submicron features while no notable depth change is observed for features above 3 mm in this study. The regression relation of the etch depth versus zone width was proved to be a third-order polynomial in zone width.

J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 321 Fig. 4. Variation of depth with linewidth for different total flow rates: (a) HBr/ O / SF 595/ 2/ 3 sccm; and (b) 2 6 HBr/ O / SF 557/ 1.2/ 1.8 sccm. 2 6 Acknowledgements This work was performed under the auspices of Opto-Electronics & Systems Laboratories, Industrial Technology Research Institute, under contract number 87S21-Q0.

322 J.-H. Ting et al. / Microelectronic Engineering 54 (2000) 315 322 Table 1 2 3 4 Regression relation of the etch depth versus zone width: d(w) 5 A 1 B*w 1 C*w 1 D*w 1 E*w, where d is etch depth and w is zone width in mm Wafer Flow rate (sccm) Etch time A B C D E no. HBr/ O / SF (min) 2 6 T49 95/ 2/ 3 10 3.0189 0.7452 20.3083 0.0453 25.65E-06 A201 95/ 2/ 3 15 4.0515 1.2228 20.4732 0.0716 21.0E-05 A204 95/ 2/ 3 20 5.4713 1.1670 20.2235 20.0015 1.27E-05 A206 57/ 1.2/ 1.8 10 2.2721 1.1854 20.6245 0.1071 21.4E-05 A208 57/ 1.2/ 1.8 20 4.0611 2.6708 21.7749 0.4155 22.6E-04 References [1] Y.H. Lee, Z.H. Zhou, Feature-size dependence of etch rate in reactive ion etching, J. Electrochem. Soc. 138 (8, August) (1991) 2439. [2] K.P. Muller, K. Roithner, H.-J. Timme, Selectivity and Si-load in deep trench etching, Microelectron. Eng. 27 (1995) 457 462. [3] M.B. Stern, M. Holz, S.S. Medeiros, R.E. Knowlden, Fabricating binary optics: process variables critical to optical efficiency, J. Vac. Sci. Technol. B9 (6, Nov./Dec.) (1991) 3117. [4] M.B. Stern, S.S. Medeiros, Deep three-dimensional microstructure fabrication for infrared binary optics, J. Vac. Sci. Technol. B10 (6, Nov./Dec.) (1992) 2520. [5] M.G. Moharam, T.K. Gaylord, Rigorous coupled-wave analysis of dielectric surface relief grating, J. Opt. Soc. Am. 72 (1982) 1385 1392. [6] A. Stemmer, H. Zarschizky, E. Knapek, G. lefranc, F. Mayerhofer, Efficiency enhancement of diffractive optical elements by variable relief profiling, Microelectron. Eng. 27 (1995) 171 174. [7] H. Werlich, G. Sincerbox, B. Yung, Fabrication of high efficiency surface relief hologram, J. Imaging Technol. 10 (3) (1984) 105 115.