The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Similar documents
Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

New Applications of Chemical Mechanical Planarization

Radiation Tolerant Isolation Technology

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

Isolation of elements

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Evaluation of Copper CMP Process Characterization Wafers

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

EE-612: Lecture 28: Overview of SOI Technology

Isolation Technology. Dr. Lynn Fuller

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Semiconductor Technology

Fabrication Techniques for Thin-Film Silicon Layer Transfer

200mm Next Generation MEMS Technology update. Florent Ducrot

Lecture 22: Integrated circuit fabrication

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY,

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

CMP challenges in sub-14nm FinFET and RMG technologies

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

EE 434 Lecture 9. IC Fabrication Technology

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Post-CMP Cleaning: Interaction between Particles and Surfaces

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Chapter 3 Silicon Device Fabrication Technology

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

3D technologies for integration of MEMS

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silicon Manufacturing

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

CMOS FABRICATION. n WELL PROCESS

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Silicon Wafer Processing PAKAGING AND TEST

TSV Interposer Process Flow with IME 300mm Facilities

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Rockwell R RF to IF Down Converter

Pyramid Probe Card: P800-S Online Cleaning

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Motorola PC603R Microprocessor

SLURRY FORMULATION OPTIONS

Intel Pentium Processor W/MMX

Understanding and Reducing Copper Defects

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Wafer-to-Wafer Bonding and Packaging

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

2006 UPDATE METROLOGY

TGV and Integrated Electronics

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

Supporting Information

VLSI Systems and Computer Architecture Lab

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Thin. Smooth. Diamond.

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan

Fabrication Technology

Functional Ceramics for Electronics and Energy Technology

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

5/3/2010. CMP UG

Kevin O. Loutfy and Dr. Hideki Hirotsuru

Professional Floor Restoration & Rejuvenation MADE EASY

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

5.8 Diaphragm Uniaxial Optical Accelerometer

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Plasma-Enhanced Chemical Vapor Deposition

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

KGC SCIENTIFIC Making of a Chip

Chips Face-up Panelization Approach For Fan-out Packaging

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

Advanced STI CMP Solutions for New Device Technologies

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Manufacturing Process

HBLED packaging is becoming one of the new, high

Ultra High Barrier Coatings by PECVD

Fabrication of Silicon-on-Insulator (SOI) and Strain- Silicon-on-Insulator (ssoi) Wafers Using Ion Implantation

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

Advanced pad conditioner design for Oxide/Metal CMP

Modeling of Local Oxidation Processes

EECS130 Integrated Circuit Devices

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

Process Flow in Cross Sections

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Transcription:

The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background CMP for SOI Summary 2

DWB Background DWB requires surfaces that are Flat or negative topography (no bumps or mesas) Very smooth Extremely clean CMP is a logical choice for surface preparation Good starting points in CMOS (ILD, STI, Cu, etc.) Many materials can be polished as long as the slurry/pad/process are properly optimized 3

Direct Wafer Bonding CMP / Clean Activation RT Bond Anneal Direct Wafer Bonding (DWB) Surface prep is key CMP adapted to the materials being bonded Cleaning steps are critical to ultimate DWB success Anneal is usually necessary to strengthen bonds 4

Guideposts for DWB Surface Roughness (Ra) < 0.5 nm 0.5 1.0 nm > 2.0 nm Good Usually ok.. Poor Flatness or topography No bumps sticking up from surface Indents or cavities ok (preferably sharp corners) Surface cleanliness Must be very clean and particle free NO hydrocarbons allowed on surface Materials Strongest bond is generally same-same material Most common are oxide-oxide and Si-Si 5

Types of Si DWB Source: Kim and Najafi, University of Michigan, 2007 6

DWB Example #1 Included 3 types of substrates Silicon wafers with grown thermal oxide layers Silicon wafers with deposited oxide films Borofloat 33 glass (clear) Initial work performed on bare substrates and blanket film wafers to understand materials independent of pattern effects 7

Thermal Oxide Removed (Ang) CMP Thermal Oxide 7000 6000 5000 4000 3000 2000 Removed (low pressure) Removed (med pressure) Test Inputs Thermal oxide films IPEC 472 polisher Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry 1000 0 0 20 40 60 80 100 120 140 Polish Time (seconds) Outcome Two pressures screened Linear function of polish time Surface roughness excellent at all settings (Ra <1 nm) 8

Borofloat 33 Removed (Ang) CMP Borofloat 33 Glass 10000 Test Inputs 9000 8000 Removed (low pressure) Removed (med pressure) Substrates of Borofloat 33 IPEC 472 polisher 7000 6000 5000 4000 3000 Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry 2000 Outcome 1000 0 0 20 40 60 80 100 120 140 Same two pressures screened Removal rate ~50% faster than same process on thermal oxide Polish Time (seconds) Multiple wafers per data point shows excellent repeatability 9

Removal Rate (Ang/min) CMP on Cavity Wafers 2500 2000 1500 1000 Test Inputs Cavities patterned in Si wafers then coated with oxide IPEC 472 polisher Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry 500 0 0 50 100 150 200 250 CMP Index (Pressure * Table Speed) Outcome Range of processes studied for impact on cavity edges Relatively linear response across range of CMP index (confirms Prestonian behavior) High pressure settings showed more edge rounding 10

Sealed Cavity Excellent bond no evidence of separation along interface Cavities were fabricated using optimized CMP processes on both surfaces 11

DWB Example #2 Devices fabricated on primary wafer (200 mm) then encased in thick TEOS layer CMP used to planarize TEOS layer Prime Si wafer used as bonding pair Extreme thinning performed after DWB anneal 12

DWB Example #2 Image taken by Surface Acoustic Microscope White spots = small voids Scribe lines barely visible due to density variation in bottom wafer Initial bond made at room temp Bond is stronger after annealing > Kept below 400 deg C to avoid damage to underlying metals 13

3D Packaging Apps Source: Yole Development 2007 14

SOI Background SOI = Silicon On Insulator Benefits of SOI vs bulk Si wafers Better Performance (esp. below 90nm device nodes) Reduced junction capacitance Faster speeds Higher signal to noise ratio for RF &analog Lower Power Reduced Vdd Better Yield & Reliability Enhanced isolation between transistors Reduced sensitivity to EM interference Much less sensitive to ionizing radiation (aka rad hardened ) 15

SIMOX SOI flow Separation by Implantation of Oxygen Single wafer sequence Three key steps Oxygen implantation High temperature anneal Optional Kiss polish Thickness and depth of buried oxide (BOX) layer are linked Top layer residual damage more of a problem than with Smart-Cut process Source: http://www.stomee.com 16

Smart-Cut SOI flow Developed at CEA-Leti Six key steps Thermal oxidation Hydrogen implantation Direct wafer bonding Splitting Annealing Touch or Kiss polishing Very flexible for thickness of buried oxide & top Si layers H+ damage easier to anneal Source: SOI Technology by Vishwas Jaju, 2004 17

SOI Surface Finish Top Si surface finish requirement can vary Fabrication technique Technology for intended device (power, 45nm, etc.) Thickness of active Si layer and BOX layer are both factors in the spread of the implant depth of the ions Generally not possible to achieve best SOI results with standard Si prime wafer final polishing processes Removal rate is too high for accurate thickness control Very low removal amounts do not achieve lowest Ra Optimized CMP polish is preferred 18

Polishing Comparison Comparison Substrate Polishing CMP Focus of the Process Improve TTV, flatness, Ra Planarize, reduce defects Mounting Style Rigid Compressible or flexible Impact on TTV Reduction Almost none Typical Amount Removed µm to 10's of µm 0.1 µm to a few µm Removal Rate >1 µm/min (stock) wide range Uniformity outgoing TTV is primary <10% of thin film removed Surface Finish (Ra) 0.1-0.4 nm Ra wide range, typical <1 nm Defect Level Required Low and being reduced Low and being reduced 19

Prime Si Polisher Standard Single-Sided Silicon Wafer Polisher Uneven pressure across wafer face Differential material removal from thick areas Designed to improve TTV Rotating carrier shaft Rigid backing plate No gimbal Slurry Wafer Polishing Pad Rigid platen 20

CMP Polisher Standard CMP Polisher Uniform pressure across wafer face Designed for uniform film removal Very little impact on TTV Rotating carrier shaft Baseplate Compressible film or membrane Gimbal mount Slurry Wafer Polishing Pad Rigid platen 21

Typical Consumables Typical Consumable Substrate Polishing CMP Primary Pad Double-impregnated felt Structured polyurethane Pad Conditioning Stock Slurry Slurry usage details Diamond or other styles Used after multiple runs High ph, silica abrasive Low solids (<2%) High dilution (1:20 or 1:40) Recirculation possible Diamond conditioning disk Continuous or every wafer ph and abrasive vary by mtrl High solids (>10%) Much smaller dilution (if any) Single pass usage preferred Finishing Pad Coated porous felt Wide variation by material Final Slurry Mildly alkaline, silica abrasive Low solids (<2%) Often not required Post-Polish Cleaner Megasonic cleaning line Double-sided scrubber Cleaning Chemistry Typical SC1/SC2 with some proprietary refinements Custom by material 22

SOI Examples Parameter Typical Range Example #1 Example #2 Incoming Ra 15 70 Ang 26 Ang 41 Ang Si Removal 500 Ang 2.0 µm 550 Ang 0.85 µm Final Ra 1.5 4.0 Ang 3.29 Ang 1.93 Ang Ultrathin layer. Target was extremely low removal. More typical values. 23

SOI Example Before CMP Ra = 3.88 nm (38.8 Ang) After CMP (removed <600A) Ra = 0.33 nm (3.29 Ang) 24

SOI CMP Optimized Before CMP Ra = 3.71 nm (37.1 Ang) After CMP Si removed = 704 Ang Ra = 0.12 nm (1.20 Ang) 25

Ra (Ang) SOI CMP Repeatability Optimized CMP process for SOI top Si layer after splitting and annealing 3.0 SOI Post Polish Roughness by AFM Avg Ra = 1.48 Ang 2.5 2.0 Avg Si removal = 871 Ang 1.5 Avg defects < 100 / wafer 1.0 Device ready surface finish comparable to prime Si 0.5 0.0 3 4 6 12 13 14 15 16 17 18 19 20 21 22 23 24 25 Wafer Slot 26

Summary Direct wafer bonding Bond strength is improved when both surfaces are polished and properly cleaned. Roughness is key with Ra <1nm preferred Surfaces with etched cavities can be bonded for niche apps SOI CMP Final surface must be similar to prime Si for Ra and defects Substrate polishing methods are generally too aggressive Key differences in pads, slurries, and equipment design Optimized CMP can achieve results with <800Ang removal 27

Acknowledgements Many thanks to the following: Terry Pfau, Paul Lenkersdorfer, Donna Grannis of Entrepix Customers who gave permission to use images and data For additional information, please contact: Robert L. Rhoades Entrepix, Inc. Chief Technology Officer +1.602.426.8668 rrhoades@entrepix.com 28