3DIC Integration with TSV Current Progress and Future Outlook

Similar documents
Thin Wafers Bonding & Processing

TSV Interposer Process Flow with IME 300mm Facilities

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Hot Chips: Stacking Tutorial

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

TGV and Integrated Electronics

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

3D technologies for integration of MEMS

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

An Innovative High Throughput Thermal Compression Bonding Process

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Panel Discussion: Advanced Packaging

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Embedded Cooling Solutions for 3D Packaging

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Chips Face-up Panelization Approach For Fan-out Packaging

3D technologies for More Efficient Product Development

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Innovative Substrate Technologies in the Era of IoTs

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

FOR SEMICONDUCTORS 2007 EDITION

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

Evaluation of Cu Pillar Chemistries

/15/$ IEEE Electronic Components & Technology Conference

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Chapter 3 Silicon Device Fabrication Technology

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

SEMI Networking Day 2013 Rudolph Corporate Introduction

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

200mm Next Generation MEMS Technology update. Florent Ducrot

TSV and Cu-Cu Direct Bond Wafer and Package-Level Reliability

TSV Formation: Drilling and Filling

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

II. A. Basic Concept of Package.

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

RF System in Packages using Integrated Passive Devices

TSV CHIP STACKING MEETS PRODUCTIVITY

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

Glass Carrier for Fan Out Panel Level Package

3D-IC Fabrication Challenges for More Than Moore Applications

Recent Advances in Die Attach Film

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Images of Failures in Microelectronics Packaging and Assembly

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

New Technology for High-Density LSI Mounting in Consumer Products

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

SEMI MEMS Tech Seminar (Sept 26, Cornaredo, Italy)

EECS130 Integrated Circuit Devices

Two Chips Vertical Direction Embedded Miniaturized Package

1 Thin-film applications to microelectronic technology

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Enabling Materials Technology for Multi-Die Integration

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

Plasma for Underfill Process in Flip Chip Packaging

Automotive Electronic Material Challenges. Anitha Sinkfield, Delphi

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Materials Characterization for Stress Management

Copper Wire Packaging Reliability for Automotive and High Voltage

Reliability Challenges for 3D Interconnects:

Challenges for Embedded Device Technologies for Package Level Integration

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

23 rd ASEMEP National Technical Symposium

Test Flow for Advanced Packages (2.5D/SLIM/3D)

3D Packaging- Synthetic Quartz Substrate and Interposers for High Frequency Applications. Vern Stygar #1, Tim Mobley* 2 # Asahi Glass Corporation

Forecast of Used Equipment Market Based on Demand & Supply

TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1.

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

3D-WLCSP Package Technology: Processing and Reliability Characterization

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Through Silicon Vias Annealing: A thermo-mechanical assessment

Failure Modes in Wire bonded and Flip Chip Packages

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Nondestructive Internal Inspection. The World s Leading Acoustic Micro Imaging Lab

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Design for Flip-Chip and Chip-Size Package Technology

Design and Assembly Process Implementation of 3D Components

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Transcription:

3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1

Overview Introduction 3DIC integration and main application Products to be commercialized Technologies and key challenges for 3DIC with TSV Current progress in 3D TSV development Outlook

Why 3DIC? - Pre-positioning Strategy for More than Moore High Density Memory Low Power Logic Power Regulator Sensors High Speed Memory High Performance Logic Radio Photonics I/O 2-D Integration High Density memory Photonics High Speed Memory High Perf. Logic Low Power Logic Sensors Power Reg. Radio Discrete 3-D Integration ENABLER

3D TSV Application Status

3D TSV Market Drivers

3D TSV Packaging Market Forecast Logic + memory application to drive >30% of the 3D TSV packaging market by 2015 CIS, MEMS, SENSOR to drive 30% of market share Memory + Memory stacking combined DRAM & NAND drive 20%

3D IC Technology Development in IME TSV Fabrication Wafer Thinning Cu-Cu Wafer Bonding Wafer Level RDL 3D IC Structure C2W/C2C Bonding Micro-bumping Substrate Modeling & Characterization Mechanical simulation for low stress Thermal simulation for low chip temperature Electrical simulation for Signal Integrity (SI), Power Integrity (PI) Electrical test, Reliability test and FMEA Development of Materials Temporary bonding/debonding adhesive Plating chemicals for high AR (>10) TSV filling CMP slurries for high removal rate (5µm/min) Low curing temperature dielectric (< 180ºC) Small gap wafer level underfills (<10µm) Low stress/warpage wafer level encapsulants

3D TSV Integration Process Flow Via First (TSV Interposer) Si TSV Photo TSV ETCH TSV CLEAN TSV CVD TSV PVD TSV ECP TSV CMP FEOL BEOL Via Middle (Logic, Memory) Si FEOL TSV Photo TSV ETCH TSV CLEAN TSV CVD TSV PVD TSV ECP TSV CMP BEOL RDL & BUMP BOND & THIN BS VIA REVEAL BS RDL/BUMP Via Last (B2T) (CIS, Memory) Si FEOL BEOL BOND & THIN TSV PHOTO TSV ETCH TSV CLEAN TSV LTCVD CONTACT ETCH TSV PVD TSV ECP DEBOND CHIP STACK ASSY & TEST

TSV Fabrication Process Key challenges: Conformal dielectric step coverage and Barrier / Cu seed step coverage Void free electroplating, Cu Protrusion Via etching Dielectric Layer Barrier/Seed/Cu Filling Cu CMP Description Dielectric coverage Barrier metal and seed step coverage Electro plated via Wafer / TSV thickness Established in IME ~10% for AR10 ~5% for AR10 Ø5um/AR10, 15um pitch 50um On going Research: Via size 2um/AR10, < 10um pitch

TSV Fabrication Process Challenges

Process Challengess Cu Protrusion Cu protrusion (hundreds to thousands Å) may attack M1 and ILD layer Double CMP and Heat Treatment method have been reported for viamiddle process but these are typically high temperature processes Low temperature ILD process for Cu BEOL can minimize Cu protrusion for interposer application process development needed

Interconnection Cu-Cu Wafer Bonding Need carrier wafer for chip bonding Lower density integration On going Research: Cu Cu W2W bonding: Temperature 300 o C, Pitch 15um No need carrier wafer High density integration Work only between 1 st and 2 nd wafer bonding only, the 3 rd wafer stack back to Face to back

Interconnection - Fine Pitch Micro-Bump Key challenges: Low bonding temperature, Fine pitch and High reliability Si Chip Si chip Micro bump IMC based interconnection Cu pillar + Thin solder layer Description Bonding Temperature Bump material Bump Pitch Micro bump 180 C Cu pillar with lead free solder 260 C Specification AuInSn, InSn 25um On going Research: Composite joint for C2C, C2W bonding, Bump Pitch: 15um

Thin Wafer Handling - Temporally Bonding/Debonding BSI Thermal plastic adhesive TMAT Mechanically released adhesive 3M Laser released adhesive TOK Chemical released adhesive

Chip Stacking Process Key challenges: Multiple chip stacking with low stand off interconnection Low warpage wafer level encapsulation Wafer Level Underfilling Base Wafer Chuck C2C & C2W Bonding Base Wafer Wafer Level Molding Description Bonding method (C2W, C2C) Stand off Low Temperature Solder (180 C) Cu pillar with leadfree solder (260 C) Specification On going Research: C2W bonding : 10 chips Interconnection: RDLless and Bumpless Micro joint Thermo compression 5um 15um

Reliability Challenges Stress concentration, Cracks around TSVs IMC, fatigue failure of microbumps Moisture induced delamination, corrosion Substrate Hot Spot in Chip & Thermal Management Electromigration in Microbumps & TSVs

Sensor Chip Design For Reliability R 1 ' y [ 1 00] y[ 1 10] ' x [ 010] x[ 110] R 4 R 3 R 2 Stress sensor for process development Comb & Triple Tracks Sensor for moisture ingress & corrosion N++ P + implant N++ P + implant n-well n-well p substrate Thermal chip design Crack sensor chip design

Integrated Cooling Solution Heat Exchanger 110.0 100.0 Mini Pump Fluidic adaptor Silicon carrier PCB Avg. Chip Temperature ( C) 90.0 80.0 70.0 60.0 50.0 40.0 30.0 20.0 10.0 0.0 Chip with 400 Bumps ( Measured Data) Chip with 2500 Bumps ( Simulation Data) Avg Cooling Liquid Temp 0 10 20 30 40 50 60 70 80 90 100 Chip Heat Dissipation (W/cm2) Micro Channels Seal ring Fluidic Inlet TSV Electrical I/O Integrated single or two phase liquid cooling for high power chips in 3DIC Chip carrier with fluidic and electrical paths by C2C bonding 3D electrical and fluidic interconnection using silicon interposer On going Research: Two Phase Boiling Cooling

Singapore 3D TSV Consortium 1 st Year Phase 1 (18 months) 2 nd Year 3 rd Year Phase 2 (18 months) Design & Modeling Studies Process & Reliability studies Identify & establishment 300mm line through consortium efforts Process & Characterization studies on 300mm wafer Application: Mobile Devices One Logic Chip & Six Memory Chips Consortium Deliverables: Phase 1: Design Guidelines & Process Development Phase 2: Full Functional Device Demonstration

IME 3DIC Development Roadmap Design, Simulation & Characterization Sensor + Mixed Signal Sensor + Memory + FPGA PMIC + Memory + RFIC TSV Fabrication Thermo-Mechanical simulation Dynamic two-phase flow simulation High freq. (up to 80GHz) TSV Electrical Characterization (Φ<2um, D<20um) Sub-micron Via Φ1um, D10um Via Last Φ5um, D50um Via Middle Φ2um, D20um Wafer Handling & Thinning 12 20um thickness 12 10um thickness 8 50um thickness 12 50um thickness Wafer Level RDL & Micro-bumping Line/Space: 15um/15um Line/Space: 10um/10um CuInSn solder: 180 C, Size: 8um, Pitch: 15um 3D Stacking (C2C, C2W, W2W) W2W Cu-Cu Bonding (Pad size: 5um, pitch: 10um, Bonding temp.: <200 C) C2C/C2W bonding with solder (10 chips) 2010 2011 2012 2013 2014

3DIC Product Development Challenges Infrastructure availability and supply chain I/O standardization between interfaces Thermal management and interconnect reliability Shift in the Design/Test method paradigm and system co design

Thank you for your attention!