A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

Similar documents
Advanced STI CMP Solutions for New Device Technologies

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Evaluation of Copper CMP Process Characterization Wafers

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 434 Lecture 9. IC Fabrication Technology

PATTERN DEPENDENT MODELING FOR CMP OPTIMIZATION AND CONTROL

SLURRY FORMULATION OPTIONS

Modeling of Local Oxidation Processes

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Chapter 3 Silicon Device Fabrication Technology

New Applications of Chemical Mechanical Planarization

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

SUMMiT V Five Level Surface Micromachining Technology Design Manual

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

EECS130 Integrated Circuit Devices

Manufacturing Process

Isolation Technology. Dr. Lynn Fuller

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Post-CMP Cleaning: Interaction between Particles and Surfaces

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Intel Pentium Processor W/MMX

Motorola PC603R Microprocessor

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Fabrication and Layout

5.8 Diaphragm Uniaxial Optical Accelerometer

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Understanding and Reducing Copper Defects

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

CMOS Manufacturing Process

LAM 490 Etch Recipes. Dr. Lynn Fuller

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

II. A. Basic Concept of Package.

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing

On-line patterned wafer thickness control of chemical-mechanical polishing

Is Now Part of To learn more about ON Semiconductor, please visit our website at

HYPRES. Hypres MCM Process Design Rules 04/12/2016

200mm Next Generation MEMS Technology update. Florent Ducrot

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP challenges in sub-14nm FinFET and RMG technologies

TSV Interposer Process Flow with IME 300mm Facilities

Isolation of elements

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Fill for Shallow Trench Isolation CMP

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Cost of Integrated Circuits

Supporting Information

Lecture 22: Integrated circuit fabrication

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Advanced Process Control in Megasonic-Enhanced Pre-Bonding Cleaning

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

New Materials as an enabler for Advanced Chip Manufacturing

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Layout-related stress effects on TID-induced leakage current

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Chips Face-up Panelization Approach For Fan-out Packaging

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Advanced pad conditioner design for Oxide/Metal CMP

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Enabling Technology in Thin Wafer Dicing

Development of different copper seed layers with respect to the copper electroplating process

Scribing-Cleaving-Passivation for High Energy Physics Silicon Sensors

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS

FABRICATION of MOSFETs

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

KGC SCIENTIFIC Making of a Chip

Silicon Wafer Processing PAKAGING AND TEST

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Using a Hybrid Approach to Evaluate Semiconductor Life Cycle Environmental Issues

VLSI Systems and Computer Architecture Lab

Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Surface micromachining and Process flow part 1

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Transcription:

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S 151, Santa Clara, CA 9554 (48)986-3277 (phone), (48)235-683 (fax), raymond_jin@amat.com (e-mail) ABSTRACT This paper reports advancement in STI CMP technologies developed on a new generation CMP tool. A STI solution based on new CMP concepts has been successfully implemented in an IC production fab for different devices (.25µm and beyond) ranging from microprocessor to memory to analog devices having different pattern densities. INTRODUCTION In polysilicon CMP applications reported previously, dishing can be significantly reduced or completely eliminated by using a low- or no-selectivity slurry during over-polishing on a new generation CMP tool (a Mirra CMP system) that features low removal rate within wafer non-uniformity (WIWNU).(1) Consistent low WIWNU and reliable end pointing using an ISRM TM end point system minimize polish-stopping-layer erosion. An new polishing head (Titan Head TM ) combined with consumable/process optimization improve WIWNU. All these approaches are also applicable to STI CMP applications. The STI CMP process developed using these approaches has been successfully implemented in an IC production fab. STI CMP has been recognized as one of the most difficult CMP applications in IC fabrication. Planarity improvement has been one of the major topics under investigation to further advance STI CMP technologies. Because appropriate control experiments were not performed in a previous study, high speed was assumed to be responsible for better planarity achieved using a linear polisher.(2) In this work, we investigate the impact of pad and process variables on polishing planarity using a rotary CMP tool. The variables studied include pad type (solo vs. stacked), platen/head speed, and pressure. The experimental results showed that the hard solo pad was a dominant factor for achieving higher planarity. In the experimental ranges investigated, lower pressure led to higher planarity and planarity was insensitive to speed. EXPERIMENTAL A Mirra CMP system was used in this work for developing STI CMP processes and evaluating planarity. A modified MIT mask as described in a previous publication was used for STI evaluation.(3) The mask layout consists of 4x4 mm test structures with different percentage of active area ranging from 1% to 9% in each stepper field. Only 8 wafers were used for this work. Experimental descriptions for different experiments are included in the results and discussions section. RESULTS AND DISCUSIONS Impact of Slurry Selectivity on Dishing and Erosion High selectivity slurry is commonly used in STI CMP to minimize nitride erosion. However, currently achievable selectivity necessitates device-layout design changes and is a strong function of pattern density. Selectivity varies from 85 (at 9% pattern density) to 25 (at 1% pattern density) for a widely used highselectivity slurry as shown in Fig. 1. In addition, as shown in Fig. 2(left), the nitride pattern feature is rounded in the active areas where nitride has been exposed during over-polish.(3) Over-polish time has to be extended to completely remove oxide in the active areas if WIWNU is poor. The extended

over-polish time with a high selectivity slurry will unavoidably lead to more severe field oxide dishing as shown in Fig. 2(right). For a typical WIWNU, dishing is more pronounced when a high selectivity slurry is used as compared to a low selectivity slurry (Fig. 3). For example, after oxide removal in the active areas, dishing can be as deep as 14Å on 1µm-wide trenches when using a high selectivity slurry. In contrast, dishing can be less than 6Å (corresponding to <2Å nitride erosion) on 1µm-wide trenches when using a low selectivity slurry. Severe dishing and the across wafer variation in dishing can lead to device failure. If dishing causes the field oxide recessing below the silicon surface prior to polysilicon deposition, polysilicon wrapping around the silicon device corner will result in higher sub-threshold leakage current.(4) Another potential device failure can be caused by polysilicon residues and/or stringers. The residues/stringers reside in the active areas and at the foot of the elevated field regions. The elevated field was created by high WIWNU or by large across wafer variation in dishing. Minimization of Removal Rate Within Wafer Non-uniformity It is critical to minimize WIWNU to reduce or eliminate dishing. In this work, low WIWNU was ensured mainly by integrating three approaches. The first approach was to employ a Titan Head. The Titan Head features a flexible membrane that applies uniform pressure on the wafer backside. A retaining ring with independent pressure control was used to adjust the removal rate at the edge of the wafer. The second approach was to optimize the process/consumable parameters. Low pressure (down to 2psi) and low selectivity slurry (e.g., colloidal SiO 2 slurry) were used to achieve a wider process window. Low WIWNU was achieved during trench oxide polishing by using the above two approaches as shown in Fig. 4. The third approach was to assure consistent end-pointing on nitride by utilizing a through-the-pad optical sensor. To demonstrate the insensitivity of end pointing to the deposited oxide thickness on incoming wafers, three STI wafers of different oxide thickness ranging from 6147Å to 12459Å were used. The preand post-cmp nitride thickness and oxide thickness were measured and compared to the actual end point time recorded by ISRM. The results are summarized in Table 1. The endpoint trace for each wafer is presented in Fig. 5. The results show that ISRM TM was insensitive to pre-cmp oxide thickness ranging from 6147Å to 12459Å. Consistent post-cmp thickness of nitride or oxide was achieved for wafers with a wide range of oxide thickness by reliably end pointing as evidenced in Table 1 and Fig. 5. As recorded in an IC production fab over three months, the ISRM end pointing had >99.9% success rate. By integrating the three aforementioned approaches, the WIWNU was kept less than 3% in a 36 wafer extended run (Fig. 6). Additionally, the post-cmp field oxide thickness was consistent across the extended run. Minimization of Dishing and Erosion Low WIWNU minimizes the need for over-polishing. Consistent end-pointing coupled with a low selectivity slurry and minimal over-polishing minimizes field oxide dishing and nitride erosion. Typical Mirra STI CMP performance is presented in Figs. 6-8. Fig. 6 shows that dishing as manifested by the remaining field or trench oxide thickness can be controlled. Fig. 7 shows that nitride erosion as manifested by post CMP nitride remaining thickness can be controlled to achieve nitride wafer-to-wafer nonuniformity (WTWNU) of 1.43% across a 1-wafer extended run. The remaining nitride thickness range (max-min) is controlled to less than 35Å with a 259Å average over the characterized features. Fig. 8 shows that both post CMP nitride and oxide thickness can be controlled during an optimized process run and a design-of-experiment (DOE) run. The post-cmp remaining oxide thickness is the difference between the deposited oxide thickness and the thickness removed. During the DOE, polishing rate was varied by changing pressure and platen/head rotation speed. However, the ISRM end point system coupled with an optimized process and Titan Head ensured consistent post CMP remaining nitride and oxide thickness. In this work, both SACVD oxide and HDP CVD oxide were used for trench fill. HDP CVD oxide fill showed a self-planarization effect due to sputtering during the deposition process. The self-planarization effect makes thinner trench oxide deposition practical and ultimately enables higher throughput on deposition

tools.(3) Nevertheless, oxide dishing and nitride erosion can be consistently controlled to a minimum for both SACVD and HDP CVD oxide trench fill as shown in Fig. 9. This STI CMP process has been developed by minimizing dishing, erosion, and WIWNU and by utilizing low selectivity slurry. It was proven in a IC production fab that this process can be used for different devices (.25µm and beyond) of different pattern densities without relying on typical integration solutions such as dummy features, reverse mask etch, blanket nitride film, thin nitride sandwich film. Dummy features not only increase photo mask fabrication expense, but also have a potentially negative impact on the devices, especially when a polysilicon capacitor design is used. Other integration solutions reported thus far increase manufacturing cost by introducing extra process steps. A STI CMP process without these integration expenses is very attractive to IC manufacturers. Improvement of Planarity Process and consumable parameters were investigated to improve planarity. The process parameters investigated are pressure (membrane, retaining ring and inner tube) and speed (platen and head). The consumable parameter investigated is pad type (IC1 hard solo pad vs. IC1/Suba IV stacked pad). The patterned wafers were prepared by depositing 16Å PETEOS film for filling 82Å deep trenches with different percentage of active area ranging from 1% to 9%. The mask used for wafer preparation has been described in the experimental section. For each experimental run, polishing time was controlled to remove 9 +/- 5 Å PETEOS in the 5% active area. The results are presented in Fig. 1. The results show that the hard solo pad (IC1) significantly improved planarity as compared the hard/soft stacked pad (IC1/Suba IV) at both high speed (platen/head 153/147rpm) and low speed (platen/head 93/87rpm). By using a hard solo pad at low pressure (2/2.9/2 psi), the oxide thickness range (max-min) across the die with different percentage of active area was controlled within 12Å which is about 1/3 of the typical range observed on the same test mask under the similar conditions when the hard/soft stacked pads were used. The three-fold planarity improvement achieved with a hard solo pad at low pressure (2/2.9/2 psi) was also supported by the planarization length calculated from this data at MIT. The planarization length with the stacked pad is about 6mm while planarization length of up to 15mm can be reached with a hard solo pad at similar conditions. A new model might be needed to prevent the underestimation of planarization length when a hard solo pad is used at low pressure or when the planarization length is above a certain value.(5) The irregular shape of the curves in Fig. 1 might be attributed to the influence of neighboring features with a very different percentage of active area. Influence by neighboring features is governed by mask layout. Lower pressure also improved the planarity as compared to high pressure in the tested range of 2/2.9/2 psi to 7/9/7 psi (membrane/retaining ring/inner tube) as shown in Fig. 11. The results indicate that planarity is insensitive to platen/head speed in the range of 93/87rpm to 153/147rpm on a 2 platen for both hard/soft stacked pads and a hard solo pad. Notice that the planarization length calculated by the MIT group for this work on a Mirra system (6/15mm) is 1%/5% higher than that reported on different tools (3/9.5mm).(1) The planarization length was reported to be 3mm for a non-mirra rotary tool and 9.5mm for a linear polisher with hard solo pad.(1) The improved planarity on the Mirra system was also observed in a separate tool-to-tool comparison study. In the tool comparison study, the planarization effect was evaluated by using similar consumable sets on five different CMP tools including the Mirra system. The actual polishing time was controlled on each individual CMP tool to achieve about 1Å step height in the 5µm equal line/space area at the end of polishing. The post CMP polishing step height was plotted against the feature line width as shown in Fig. 12. The results show that the Mirra system is more effective in planarizing oxide in the feature size range from 5µm to 2µm. The consistent step height (1Å) across different features (from 5µm to 2µm) can be achieved by timed polishing on the Mirra system. The remaining step heights after timed

polishing on other four CMP systems tested are sensitive to the feature sizes. The improved planarity on the Mirra system is attributed to Titan Head and an optimized process. CONCLUSION A robust STI CMP process has been developed and implemented in an IC production fab using a new generation CMP tool. The STI CMP process is based on the following concepts: low- or no-selectivity slurry for minimizing dishing during over-polishing, reliable ISRM end-pointing and consistent low WIWNU for minimizing nitride erosion, Titan Head with an optimized process/consumable-set for improving WIWNU and planarity. The STI CMP process has been proven in IC production to be applicable to different devices (.25µm and beyond) ranging from microprocessor to memory to analog devices of different pattern densities. It was found that pad hardness is the dominant factor for achieving higher planarity. In the experimental ranges investigated, lower pressure led to higher planarity and planarity is insensitive to speed. A STI CMP process on a Mirra system using a hard solo pad at low pressure showed planarity 5% better than that achieved on a linear polisher and three-fold better than that achieved when hard solo pad is not used. REFERENCES 1. R. Jin, et al., Proc. IC Seminar, Semicon Taiwan 1998, Nov. 1998, pp. 263-274. 2. D. Ouma, et al., Proc. CMP-MIC Conf., Santa Clara, CA. Feb. 1998, pp. 2-27. 3. T. Pan, et al., Proc. VMIC Conf., Santa Clara, CA. June 1998, pp. 467-472. 4. A. H. Perera, et al., IEDM Technical Digest, 1995, pp. 679-682. 5. D. Ouma, Private conversation, 1998. ACKNOWLEDGEMENTS Brian Lee, Dennis Ouma and Duane Boning at MIT calculated planarization length using their latest model for this work. Tony Pan and Ping Li at PSI of AMAT contributed to this work in wafer preparation and data collection. Oxide/Nitride Selectivity 1 75 Low selectivity slurry High selectivity slurry 5 25 % 1% 2% 3% 4% 5% 6% 7% 8% 9% % Active Area Fig. 1. Oxide/nitride selectivity as a function of the percentage of active area.

Remaining Nitride Thickness over a 1 um Wide Active Area, um 2 15 1 5 2 4 6 8 1 Remaining Oxide Thickness over a 1 um Wide Field Area, um 41 4 39 38 37 36 35 2 4 6 8 1 Distance Away from Active Area Edge, um Distance Away from Field Area Edge, um Fig. 2. Nitride erosion (left) and oxide dishing (right) in the 5% active area region of 1µm feature size of equal line and equal space. Dishing with Low Selectivity Slurry, Ang. 18 15 12 9 6 3 1 um space 4 um space 5 1 15 2 Dishing with High Selectivity Slurry, Ang. 18 15 12 9 6 3 1 um space 4 um space 5 1 15 2 Remaining Nitride Thickness, Ang. Remaining Nitride Thickness, Ang. Fig. 3. Dishing as a function of slurry selectivity and over-polishing. Fig. 4. Wafer diameter scans at 3mm edge exclusion on different polishing heads. Table 1. Insensitivity of end pointing to the deposited oxide thickness on incoming STI wafers. Wafer No. SiN Thickness (Å) Pre - CMP Deposited Oxide Thickness (Å) CMP Time (sec) Post - CMP Average Nitride Remaining in Active Region (A) Average Oxide Thickness in Trench Region (A) KC1AHG 1823 6147 121 134 4514 KWA44XG 1842 9374 179 1281 4657 K7Q8GG 199 12459 248 1429 4874

CMP Time: 121 sec CMP Time: 179 sec CMP Time: 248 sec Fig. 5. End point trace for each of three patterned STI wafers described in Table 1. Fig. 6. Field oxide thickness and WIWNU as a function of wafer number in an extended run. Pressure (psi): 2.5/3/2.5 membrane/retaining-ring/inner tube. Speed (rpm): 63/57 platen/head. Pad: stacked IC1/Suba IV. Fig. 7. Remaining nitride thickness and nitride thickness range (max-min) as a function of wafer number in an extended run. Pressure (psi): 4/4.6/4 membrane/retaining-ring/inner tube. Speed (rpm): 93/87 platen/head. Pad: stacked IC1/Suba IV.

Fig. 8. Remaining nitride thickness, field oxide thickness, and actual polishing time at the end point as a function of wafer number in an extended run. Pressure (psi): 4/4.6/4 membrane/retaining-ring/inner tube. Speed (rpm): 63/57 platen/head. Pad: stacked IC1/Suba IV. Pre-CMP Post-CMP A Fig. 9. Pre- and Post-CMP cross-section SEM micro-graphs for (A) SACVD oxide fill and (B) HDP CVD oxide fill. Oxide Remaining in Field Area, Ang. 1 95 9 85 8 75 7 65 6 55 5 High speed & stack pads Low speed & stack pads High speed & solo pad Low speed & solo pad 1% 2% 3% 4% 5% 6% 7% 8% 9% % Active Area Fig. 1. Oxide remaining in the field area as a function of the percentage of active area after adjusted timed polishing. B

Fig. 11. Planarization length as a function of membrane pressure. 7 Remaining Step Height, Ang. 6 5 4 3 2 1 Mirra CMP Tool A CMP Tool B CMP Tool C CMP Tool D 2 15 1 75 5 Space or Line Width, um Fig. 12. Remaining oxide step height as a function of the line/space width. * This paper has been published in the proceedings of 4 th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference (CMP-MIC), Santa Clara, CA, Feb. 11-12, 1999, pp. 314-321.