System Level Design and Simulation for Heterogeneous Integration

Similar documents
Narrowing the Gap between Packaging and System

Panel Discussion: Advanced Packaging

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

5. Packaging Technologies Trends

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Fan-Out Packaging Technologies and Markets Jérôme Azémar

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Innovative Substrate Technologies in the Era of IoTs

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

Technical Viability of Stacked Silicon Interconnect Technology

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

Semiconductor IC Packaging Technology Challenges: The Next Five Years

3DIC Integration with TSV Current Progress and Future Outlook

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Thales vision & needs in advanced packaging for high end applications

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Graser User Conference Only

Between 2D and 3D: WLFO Packaging Technologies and Applications

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Chip and system-level integration technologies for silicon photonics

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Cu electroplating in advanced packaging

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Increasing challenges for size and cost reduction,

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

3D Packaging- Synthetic Quartz Substrate and Interposers for High Frequency Applications. Vern Stygar #1, Tim Mobley* 2 # Asahi Glass Corporation

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

Xpedition Package Integrator

Beating the Heat Dealing with the Thermal Challenge: Past, Present and Future

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Predicting the Reliability of Zero-Level TSVs

Challenges for Embedded Device Technologies for Package Level Integration

FOR SEMICONDUCTORS 2007 EDITION

Equipment and Process Challenges for the Advanced Packaging Landscape

Key features in Xpedition Package Integrator include:

Panel Fan-Out Manufacturing Why, When, and How?

3D technologies for More Efficient Product Development

Kun Young Chung Design Technology Team System LSI Samsung Electronics

ams Ambient Light Sensor (ALS) with WLCSP TSV Packaging

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California

Chips Face-up Panelization Approach For Fan-out Packaging

Development of System in Package

TGV and Integrated Electronics

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Close supply chain collaboration enables easy implementation of chip embedded power SiP

RF System in Packages using Integrated Passive Devices

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

EDA Technologies Fueling IoT Implementation, Current and Future

S/C Packaging Assembly Challenges Using Organic Substrate Technology

The 3D Silicon Leader

Thin Wafers Bonding & Processing

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Next Gen Packaging & Integration Panel

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

Mobile Device Passive Integration from Wafer Process

IMPLEMENTING FAN-OUT WAFER-LEVEL PACKAGING (FOWLP) WITH THE MENTOR HDAP FLOW JOHN FERGUSON AND KEITH FELTON, MENTOR, A SIEMENS BUSINESS

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3)

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Shrinking 3D ICs Capabilities and Frontiers of Through Silicon Via Technologies

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC

SiGe Technologies for Performance-Driven Applications

Modelling Reliability of Power Electronics Modules Current Status, Future Challenges

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

System-in-Package Research within the IeMRC

HIGH-SPEED SYSTEM INTERCONNECT DESIGN

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Integrated Chip Package System Simulation

FOR SEMICONDUCTORS 2009 EDITION

Worldwide IC Package Forecast (Executive Summary) Executive Summary

Interconnect Reliability

Flip Chip Challenges

Research Needs: Packaging June 25, 2018 Semiconductor Research Corp. (SRC) Research Triangle Park, NC 27703

CHALLENGES OF HIGH-CAPACITY DRAM STACKS AND POTENTIAL DIRECTIONS

Scouting Intellectual Properties in the Age of More Than Moore

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

High performance and high reliability passives for miniature medical devices based upon Silicon technologies. Laurent Dubos INEMI May 2011

Packaging solution for GaN-on-200mm Si power devices

Credit Suisse Technology Conference

GROWTH AREAS FOR IOT AND IMPACT ON FD SOI

Sharif University of Technology Introduction to ASICs

Forschung für die Elektroniksysteme von morgen

Modelling Embedded Die Systems

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

Transcription:

System Level Design and Simulation for Heterogeneous Integration Presented by Bill Bottoms PhD bill_bottoms@3mts.com Electronic Design Process Symposium SEMI, Milpitas, California September 21 22, 2017

IC Industry a Brief History The ITRS was established in 1991to forecast future technology requirements and enable pre-competitive collaboration The participants were integrated circuit manufacturers and their supply chain The focus was on scaling CMOS as the most efficient path to progress The path to progress was Design of integrated circuits Processes and materials needed to manufacture new designs Packaging was a secondary issue

IC Industry a Brief History Guided by Moore s Law we knew the challenges well in advance and metrology and characterization tools were available The path to progress was known, difficult challenges were known and all things were right with the world

26 years later....the world has evolved and is changing in ways never imagined.

3D, WLP, Embedding... New Challenges & Opportunities

System Requirements To Support The Future Higher bandwidth density Lower latency Increased performance Lower power Expanded data storage Heterogeneous Integration Ensured reliability Improved security All at no increase in cost

Potential Solutions To Support This View Of The Future New Design and Simulation Tools Needed to Integrate these solutions Higher bandwidth density WDM single mode Photonics to the package Lower latency Flat photonic network- replace tree architecture Increased data processing speed Increased parallelism- more cores & software to match Expanded data storage 3D memory, new memory devices, hierarchical memory architecture Ensured reliability in a world where transistors wear out Intelligent redundancy Continuous test while running Dynamic self repair Graceful degradation Improved security while maintaining process speed and latency Hardware and software combined-distributed over the global network

New Device and Packaging Architectures Are Needed To Meet Future Market Demand

Emerging Package Architectures Heterogeneous System Integration Fan-out Wafer Level Packaging Embedded Multi-die Integration Bridge 3D Integration System in Package

Heterogeneous System Integration Heterogeneous by material, component type, circuit type, node and bonding/interconnect method

Convergence to 3D SiP Wafer Bumping Flip Chip Assembly WLCSP Wafer Level MEMS Fan Out Fan Out Multidie Fan Out 3D 2.5D Interposer 3D FO System in Package Conformal Shielding Coreless Substrate Antenna on Package Source: John Hunt, ASE

Electronic/Photonic SiP through Heterogeneous Integration Source: ASE with additions

The Revolution In Packaging Supporting Heterogeneous Integration And 3D Integration In The Past Two Years

Si Photonic ICs Are In High Volume Production Intel s Silicon Photonics 100G PSM4 (Parallel Single Mode fiber 4-lane) QSFP28 is the first small formfactor, high speed, low power consumption Optical Transceiver. Optical links over single-mode fiber. Applications Connectivity for large scale cloud and enterprise data centers Ethernet switch, router, and client side telecom interfaces Features Compatibility with single mode fiber connectors Operating temperature range: 0 to 70 o C 3.5 W maximum power dissipation Electrical interface IEEE 802.3bm Reach up to 2 km

AMD Fiji Graphics Processor GPU die Stacked memory HBM Stacked memory HBM Decoupling capacitor Decoupling capacitor stiffener Stacked memory GPU Stacked memory stiffener 6 Package Substrate

Intel Embedded Multi-die Interconnect Bridge EMIB uses thin pieces of silicon (< 75um) containing fine pitch interconnect (~2um L/S) embedded in an organic substrate to enable dense die to die interconnect between die on the BGA like laminate substrate

Apple A10 Fan Out Package TSMC Info

Direct Bond Interconnect Is Now In High Volume Production Samsung s Galaxy S7 is the first high volume use of the Ziptronix s DBI technology in Sony CMOS image sensor Bonding force is distributed over dielectric and conductor interfaces Near use case temperature processing No under fill required Chipworks cross-section of CMOS image sensor shows DBI for Copper pad connections

Apple Watch S1 (Heterogeneous) SiP

Apple Watch S1 (Heterogeneous) SiP Complex, high performance, low cost and this is just the beginning

Components Incorporated In 3D SiP Over the next 15 years components incorporated into 3D packages include: Monolithic integrated photonic ICs (photonics, electronics and plasmonics) Other optical components that are not integrated in the SiPh-ICs. Si based logic and memory ICs MEMS devices Sensors GaN power controller circuits RF circuits Compound semiconductor lasers Optical interconnects to and from the outside world Electrical interconnects to and from the outside world Passive components Embedded passives and actives New devices and new materials that will be developed over next 15 years

Components Incorporated In 3D SiP Each of these has there own requirements for thermal, mechanical, optical and electronic properties that must co exist in a single package. This poses many new challenges for packaging Co Design and Co Simulation

The Most Efficient Path To Progress Has Changed For the past 50 years, the cheapest and easiest way to increase complexity was to shrink the feature size and grow the wafer diameter.(that was classic Moore s Law) Now there is a tradeoff. We will do the things that are most economic for the capabilities we want. Some of those will keep us going with smaller and smaller feature sizes almost forever. But the most economical tradeoff is likely to be a combination of better system engineering, multi-chip packaging, and a whole variety of other techniques to keep advancing the capabilities in the most cost-effective way. Wally Rhines, Chairman Mentor Graphics. Source: Semiconductor Engineering, Ed Sperling, April 20 2017

Design Cost Escalation May Be The Biggest Challenge Chip Design cost is driven by complexity 3D SiP Packaging is growing in complexity resulting in NRE including co design and cosimulation becoming the limiting factor Small volume products cannot support the cost

System Level Co-Design Device/Chip Si, WBG SoC, Digital, RF, Analogue Opto, Mixed Signal Transistors, Gates, etc FEOL, BEOL Scale: nn um Requirements Electrical Thermal Mechanical Chemical Cost For each component Package SiP, 3DIC, BGA, Flip Chip WLP (Fan in, Fan out) Interposers, TSV s Solders, TIM, Wirebonds Scale: um cm Board/System Organic, Ceramic, etc Heterogeneous Structures Thermal Management Sub Systems, Enclosures IPAD, Radar, Lighting, etc Scale: cm m Source: Heterogeneous Integration Roadmap

Multi-Physics Co-Simulation Electro thermal interactions Thermal Conductive cooling Convective cooling Radiative cooling Thermal resistance Junction temperature Electrical Signal/Power Integrity Crosstalk Signal delays Optical attenuation Etc Co Simulation Thermo mechanical interactions Mechanical Stresses Fatigue Cracks Electro migration CTE mismatch Electro mechanical interactions Chemical Material compatibility Environmental issues In body Automotive Wearable Source: Heterogeneous Integration Roadmap

3D-Design Challenges 3D adds significant complexity Physical design (RDL, Routing, etc) Signal quality, power delivery Thermal behavior (staked dies) New failure modes (TSV s, etc) Tools Many point analysis tools Electrical, Thermal, Optical Mechanical Different levels of abstraction Different tools used Device, package, board domains Design Design flows disconnected Design data exchange done manually Device Device Database Device Design Flow Package Package Database Package Design Flow System System Database System Design Flow Analysis Analysis Analysis Electrical Current, Voltage Waveforms Levels of Abstraction Analytical Models SPICE, IBIS Maxwell Solvers Model or Moments PEEC Signal Integrity Power Integrity EMI, ESD Electro Migration Thermal Power Dissipation External Cooling Levels of Abstraction Analytical Model Flow Networks SPICE Equivalent CFD, FEA Compact Models Junction Temperature; Thermal resistance Mechanical Moisture, Temperature, Vibration, Fixtures Eand B Fields Levels of Abstraction Analytical Models FEA (2D, 3D, etc) PoF Stress, Strain, Damage, Reliability Multi Physics: One Way Coupling; Two Way Coupling; Co Simulation Multi Scale (Systems Modelling): Reduced Order Models; Submodelling, etc Optimisation & Uncertainty Analysis: Gradient, Non Gradient, Monte Carlo, etc Source: Heterogeneous Integration Roadmap

Moving to a new paradigm Today (Generally) Future Device Package System Device Device Database Package Package Database System System Database Device Database Device Design Flow Package Database Package Design Flow System Database System Design Flow Device Design Flow Package Design Flow System Design Flow Analysis Analysis Analysis Multi physics models: Optical, Electrical, Thermal, Mechanical, etc Multi scale simulation: Co simulation for performance & reliability Processes/Metrology Single Physics Single component Single user Few design points investigated Co Design: Design workflow, data transfer, Global Optimization, Variability, Physical Design, DfX (Manufacture, Reliability, Test, etc.) Multi Physics/Scale Processes Performance Reliability/Robustness Collaborative Design exploration System aware design Source: Heterogeneous Integration Roadmap

Intel Embedded Multi-die Interconnect Bridge The Next Generation of Implementation Intel Introduced the next generation of EMIB at Hot Chips last week Many die in one piece without large area interposer Components of different nodes in one piece Rapid deployment of advanced nodes Heterogeneous integration Available to 14nm Foundry Customers now

UCLA s Active Interconnect Fabric A Plug and Play Dielet Solution Active Interconnect Fabric Hard IP Library cells available A library of dielets for interface tasks Regulators dielets I/O dielets Clock dielets Test dielets other Green blocks are interface dielets Other blocks are application specific dielets Source: UCLA Center for Heterogeneous Integration and Performance Scaling

Interconnect Fabric is A Silicon Technology Source: UCLA Center for Heterogeneous Integration and Performance Scaling

Target: Push Button System Interconnect Fabric Standardized Interfaces enabling fast IF-based System Design Source: UCLA Center for Heterogeneous Integration and Performance Scaling

Imec s 3D Interconnect Alternatives Today 3D adoption was slowed by cost and lack of co-design and simulation tools Design and simulation tools were slow due to small perceived market size This is now rapidly changing

A new Era view from DARPA s Bill Chappell Director of DARPA s microsystems group The next era we're heading into is about progress in lots of variables hardware/software co-design, new materials and functional blocks, specialization for each app We re not out of ideas at all, this is a wildly interesting time where lots of creativity will make up for the march of scaling,

Darpa s $200M ERI program has a schedule Electronics Resurgence Initiative Supporting a new era of electronics in which advances in performance will be catalyzed not just by continued component miniaturization but also by radically new microsystem materials, designs, and architectures. Hundreds of people, 45 companies, 10 defense contractors and many universities are already participating

DARPA Heterogeneous Integration Program (CHIPS) Focused on Advanced Modular SiP What is CHIPS? Common Heterogeneous integration and IP reuse Strategies program CHIPS will develop the design tools and integration standards required to demonstrate modular electronic systems that can leverage the best of DoD and commercial designs and technology. Today Monolithic Tomorrow Modular

Heterogeneous Integration Program at DARPA Source: Daniel S. Green MEPTEC Heterogeneous Integration Roadmap Symposium November 10 th 2016 San Jose California Developing design tools, integration standards and IP blocks required to demonstrate modular electronic systems that leverage the best of DoD Today Monolithic Tomorrow Modular and commercial designs and technology HIPS will develop design tools, integration tandards, and IP blocks required to demonstrate modular electronic systems that can leverage the est of DoD and commercial designs and technology. Image: Intel CHIPS enables rapid integration of functional blocks at the chiplet level

OSATS Are Investing In The Solutions Design flows for 3D Heterogeneous integration Reference designs for specific applications Support for 3D FOWLP Panel Processing Photonic Integrated Circuits Much more is needed for low cost, high throughput Co Design and Co Simulation

SiP Reference Design With Standard Components Reduces Design Cost And Drives Higher Volume Source: Amkor Technologies, Inc.

The IoT Sensor Hub Must Be A SiP The sensor hub must combine many functions: Sensors Analog/mixed signal circuits (ADC, etc.) Nonvolatile memory Multi-band RF Antenna Battery/super-capacitor Power management Power scavenger

The IoT Sensor Hub Must Be A SiP Make it standard for the Hub manufacturer and custom for the final product manufacturer customer Provide bonding pads for many components with option to populate only what is needed for a specific product design

MEMS Sensor IoT Package IoT sensor hub components assembled into SiP with all packaged die. Blue tooth radio in package Microcontroller in package MEMS sensor in package Source: Amkor, Chip Scale Review March April 2016

The Software Industry is Responding Value creation is moving to the package from the IC as the low hanging fruit to continue the rate of progress Design and Simulation Tools are coming to address new opportunities and challenges: Heterogeneous Integration reducing power but power density increases with increasing 3D functional density. Different coefficients of thermal expansion (CTE) of tightly packaged materials cause thermal mechanical stress and may lead to reliability failures, if not considered during the design process New flows for co-design, verification and product simulation are coming as the industry recognizes the opportunity associated with the need. But it is just beginning

Mentor s High-Density Advanced Packaging design flow (HDAP) This June Mentor announced its HDAP design flow and its OSAT Alliance Program

Heterogeneous integration in Design and Production Working with OSATs such as Amkor to help drive ecosystem capabilities in support of new HDAP technologies like 2.5D ICs, 3D ICs, and fan-out wafer-level packaging (FOWLP) for customer IC designs. Creating certified design kits that help mutual customers speed up IC and advanced package development using Mentor s Tanner L-Edit AMS design cockpit, Calibre IC physical verification platform, HyperLynx SI/PI and HyperLynx full-wave 3D tools, Xpedition Substrate Integrator, Xpedition Package Designer tools, and newly announced Xpedition HDAP flow. Source: Mentor web site

What Is needed For Cost and Time To Market Modular EDA components that can interoperate with compatible data base structure or translating wrappers. Standard system component designs (passive and active) that can be interconnected with automated EDA tools. Support for the full spectrum of interconnect technologies (electrical, optical, RF) and processes (3D, 2.5D, EMIB, DBI, wire bond, etc.) System level simulation tools capable of product performance verification enabling optimization in the computer rather than through fab cycles. Modular, open architecture for all design and simulation tools to reduce the cost and time for inserting new materials, processes and architectures. Materials properties for thin layers where interface properties dominate.

Summary There are many difficult challenges that must be overcome before the needed Co-design and Co-simulation tools are available. Those that invest successfully in this expertise will be handsomely rewarded The Design and Simulation capability coming will enable a new generation of information technology and artificial intelligence driving a pace of progress that maintains or exceeds that of Moore s Law for decades to come

Thank You for Your Attention